1// DESCRIPTION: Verilator: Test of select from constant
2//
3// This file ONLY is placed under the Creative Commons Public Domain, for
4// any use, without warranty, 2020 by Wilson Snyder.
5// SPDX-License-Identifier: CC0-1.0
6
7module t (/*AUTOARG*/
8   // Outputs
9   o,
10   // Inputs
11   clk
12   );
13   input clk;
14   output int o;
15
16   localparam SIZE = 65536;
17   int array [SIZE];
18
19   always @ (posedge clk) begin
20      for (int i=0; i<SIZE; i++) begin
21         array[i] <= 0;  // BLKLOOPINIT
22      end
23      o <= array[1];
24   end
25
26endmodule
27