1#!/usr/bin/env perl
2if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
3# DESCRIPTION: Verilator: Verilog Test driver/expect definition
4#
5# Copyright 2003 by Wilson Snyder. This program is free software; you
6# can redistribute it and/or modify it under the terms of either the GNU
7# Lesser General Public License Version 3 or the Perl Artistic License
8# Version 2.0.
9# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
10
11# show-config: This test runs the very first time we've executed Verilator
12# after building so we make sure to run with --gdbbt, so if it dumps we'll
13# get a trace.
14
15scenarios(simulator => 1);
16
17$DEBUG_QUIET = "--debug --debugi 0 --gdbbt --no-dump-tree";
18
19run(cmd => ["perl", "../bin/verilator", $DEBUG_QUIET, "-V"],
20    verilator_run => 1,
21    );
22
23compile(
24    verilator_flags2 => [$DEBUG_QUIET, "--trace"],
25    );
26
27execute(
28    check_finished => 1,
29    );
30
31ok(1);
321;
33