1module top(input clk, d, set, output reg q);
2    always @(posedge clk)
3           if (set)
4                q <= 1'b1;
5           else
6                q <= d;
7endmodule
8