Home
last modified time | relevance | path

Searched refs:PROJECT (Results 1 – 25 of 3451) sorted by relevance

12345678910>>...139

/dports/lang/rexx-regutil/regutil/
H A DMakefile.doc12 PROJECT=regutil
14 doc : $(PROJECT).pdf
18 $(PROJECT).pdf : $(PROJECT).tex
19 -makeindex $(PROJECT)
20 pdflatex $(PROJECT)
21 makeindex $(PROJECT)
22 pdflatex $(PROJECT)
23 makeindex $(PROJECT)
24 pdflatex $(PROJECT)
27 …-rm $(PROJECT).pdf $(PROJECT).log $(PROJECT).aux $(PROJECT).idx $(PROJECT).ilg $(PROJECT).ind $(PR…
/dports/math/dune-common/dune-common-df65b1282ea89ad40d2cb6565983f7e633ccce31/bin/
H A Dduneproject190 PROJECT="$1"
204 PROJECT=""
208 PROJECT=""
211 MODULE="$PROJECT"
248 PROJECT=""
273 rm -rf "$PROJECT"
275 mkdir "$PROJECT"
458 /* begin $PROJECT
500 /* end $PROJECT
510 mkdir "$PROJECT/src"
[all …]
/dports/science/py-avogadrolibs/avogadrolibs-1.94.0/scripts/
H A Dextract-messages.sh3 PROJECT="avogadrolibs" # project name
42 mv ${PROJECT}.new ${PROJECT}.pot
45 mv ${PROJECT}.new ${PROJECT}.pot
47 mv ${PROJECT}.new ${PROJECT}.pot
48 sed -e 's/^#. i18n: .\//#: /' <${PROJECT}.pot >${PROJECT}.new
49 mv ${PROJECT}.new ${PROJECT}.pot
50 sed -e '/^#: rc.cpp/ d' <${PROJECT}.pot >${PROJECT}.new
51 mv ${PROJECT}.new ${PROJECT}.pot
52 sed -e 's/rc\.cpp//' <${PROJECT}.pot >${PROJECT}.new
53 mv ${PROJECT}.new ${PROJECT}.pot
[all …]
/dports/science/avogadrolibs/avogadrolibs-1.94.0/scripts/
H A Dextract-messages.sh3 PROJECT="avogadrolibs" # project name
42 mv ${PROJECT}.new ${PROJECT}.pot
45 mv ${PROJECT}.new ${PROJECT}.pot
47 mv ${PROJECT}.new ${PROJECT}.pot
48 sed -e 's/^#. i18n: .\//#: /' <${PROJECT}.pot >${PROJECT}.new
49 mv ${PROJECT}.new ${PROJECT}.pot
50 sed -e '/^#: rc.cpp/ d' <${PROJECT}.pot >${PROJECT}.new
51 mv ${PROJECT}.new ${PROJECT}.pot
52 sed -e 's/rc\.cpp//' <${PROJECT}.pot >${PROJECT}.new
53 mv ${PROJECT}.new ${PROJECT}.pot
[all …]
/dports/science/avogadro2/avogadroapp-1.94.0/scripts/
H A Dextract-messages.sh3 PROJECT="avogadroapp" # project name
42 mv ${PROJECT}.new ${PROJECT}.pot
45 mv ${PROJECT}.new ${PROJECT}.pot
47 mv ${PROJECT}.new ${PROJECT}.pot
48 sed -e 's/^#. i18n: .\//#: /' <${PROJECT}.pot >${PROJECT}.new
49 mv ${PROJECT}.new ${PROJECT}.pot
50 sed -e '/^#: rc.cpp/ d' <${PROJECT}.pot >${PROJECT}.new
51 mv ${PROJECT}.new ${PROJECT}.pot
52 sed -e 's/rc\.cpp//' <${PROJECT}.pot >${PROJECT}.new
53 mv ${PROJECT}.new ${PROJECT}.pot
[all …]
/dports/net/boinc-client/boinc-client_release-7.8-7.8.6/html/user/
H A Dinfo.php35 <h3>".tra("Run %1 only on authorized computers", PROJECT)."</h3>
36 …and schools have policies that prohibit using their computers for projects such as %1.", PROJECT)."
38 <h3>".tra("How %1 will use your computer", PROJECT)."</h3>
39 …dth. You can control how much of your resources are used by %1, and when it uses them.", PROJECT)."
40 …, as described on its web site. The application programs may change from time to time.", PROJECT)."
48 <h3>".tra("Is it safe to run %1?", PROJECT)."</h3>
50 …s, stop running %1 or use a %2utility program%3 that limits CPU usage.", PROJECT, "<a href=\"downl…
51 … developed by %2. BOINC was developed at the University of California.", PROJECT, COPYRIGHT_HOLDER…
54 … event or condition that may occur as a result of participating in %1.", PROJECT, COPYRIGHT_HOLDER…
57 …doing so, your computer will do useful work even when %1 has no work available for it.", PROJECT)."
[all …]
/dports/java/eclipse/eclipse.platform.releng.aggregator-R4_16/eclipse.jdt.core/org.eclipse.jdt.core.tests.model/src/org/eclipse/jdt/core/tests/rewrite/describing/
H A DImportRewrite18Test.java102 deleteProject(PROJECT); in tearDown()
113 createFolder("/" + PROJECT + "/src/pack1"); in testBug417937a_since_8()
120 createFolder("/" + PROJECT + "/src/pack2"); in testBug417937a_since_8()
163 createFolder("/" + PROJECT + "/src/pack1"); in testBug417937b_since_8()
173 createFolder("/" + PROJECT + "/src/pack2"); in testBug417937b_since_8()
178 createFolder("/" + PROJECT + "/src/pack3"); in testBug417937b_since_8()
220 createFolder("/" + PROJECT + "/src/pack1"); in testBug417937b1_since_8()
230 createFolder("/" + PROJECT + "/src/pack2"); in testBug417937b1_since_8()
235 createFolder("/" + PROJECT + "/src/pack3"); in testBug417937b1_since_8()
284 createFolder("/" + PROJECT + "/src/pack1"); in runTest417937candGetType()
[all …]
/dports/textproc/muse/muse-3.20/
H A DMakefile59 -rm -fr ../$(PROJECT)-$(VERSION)
64 rm -f ../$(PROJECT)-$(VERSION)/.gitignore
65 cp lisp/$(PROJECT)-autoloads.el ../$(PROJECT)-$(VERSION)/lisp
69 $(PROJECT)-$(VERSION) ; \
70 zip -r $(PROJECT)-$(VERSION).zip $(PROJECT)-$(VERSION) && \
72 gpg --detach $(PROJECT)-$(VERSION).zip)
76 scp $(PROJECT)-$(VERSION).zip* $(PROJECT)-$(VERSION).tar.gz* \
80 rm -fR $(ELPADIR)/$(PROJECT)-$(VERSION)
86 $(ELPADIR)/$(PROJECT)-$(VERSION)/$(PROJECT)-pkg.el
88 $(ELPADIR)/$(PROJECT)-$(VERSION)/$(PROJECT)-pkg.el
[all …]
/dports/net/boinc-client/boinc-client_release-7.8-7.8.6/client/
H A Dwork_fetch.h57 struct PROJECT;
246 void supplement(PROJECT*);
250 void set_request(PROJECT*);
253 bool may_have_work(PROJECT*);
254 int cant_fetch(PROJECT*);
255 bool backed_off(PROJECT*);
307 void reset(PROJECT*);
308 void rr_init(PROJECT*);
309 void print_state(PROJECT*);
318 PROJECT* choose_project();
[all …]
H A Dclient_state.h80 vector<PROJECT*> projects;
259 PROJECT* lookup_project(const char*);
267 int detach_project(PROJECT*);
277 int link_app(PROJECT*, APP*);
282 int link_result(PROJECT*, RESULT*);
288 int nresults_for_project(PROJECT*);
439 int make_scheduler_request(PROJECT*);
457 int parse_app_info(PROJECT*, FILE*);
466 int remove_trickle_files(PROJECT*);
472 void check_project_pointer(PROJECT*);
[all …]
/dports/devel/icmake/icmake-9b958b05ac462adf6cde394875964a8127599514/
H A Dsourcetar3 PROJECT=icmake
5 cd ~/git/${PROJECT}/src
10 cp /usr/share/common-licenses/GPL ${PROJECT}/LICENSE
16 . ${PROJECT}/VERSION # load the version
22 ln -s ${PROJECT} ${DISTRIBUTION} # distribution's dir name
30 tgz ../tarballs/${PROJECT}_${VERSION}.orig.tar.gz
34 tgz ${PROJECT}_${VERSION}.tar.gz
38 scp ${PROJECT}_${VERSION}.tar.gz \
39 oosix:git/${PROJECT}/tarballs/${PROJECT}_${VERSION}.orig.tar.gz
41 rm ${PROJECT}_${VERSION}.tar.gz
[all …]
/dports/textproc/yodl/yodl-5fa97b175c85581d01329013cfdb4239f019b023/
H A Dsourcetar2 PROJECT=yodl
7 cp /usr/share/common-licenses/GPL ${PROJECT}/LICENSE
11 VERSION=`grep VERSION ${PROJECT}/VERSION |
18 ln -s ${PROJECT} ${DISTRIBUTION} # distribution's dir name
22 rm -f ${DISTRIBUTION} ${PROJECT}/LICENSE # rm the link/LICENSE file
27 tgz ${HOME}/git/${PROJECT}/tarballs/${PROJECT}_${VERSION}.orig.tar.gz
31 tgz ${PROJECT}_${VERSION}.tar.gz
35 scp ${PROJECT}_${VERSION}.tar.gz \
38 md5sum ${PROJECT}_${VERSION}.tar.gz
39 rm ${PROJECT}_${VERSION}.tar.gz
[all …]
/dports/cad/kicad-devel/kicad-a17a58203b33e08b966075833b177dad5740c236/common/
H A Dproject.cpp40 PROJECT::PROJECT() : in PROJECT() function in PROJECT
49 void PROJECT::ElemsClear() in ElemsClear()
60 PROJECT::~PROJECT() in ~PROJECT()
116 const wxString PROJECT::GetProjectFullName() const in GetProjectFullName()
122 const wxString PROJECT::GetProjectPath() const in GetProjectPath()
128 const wxString PROJECT::GetProjectName() const in GetProjectName()
134 bool PROJECT::IsNullProject() const in IsNullProject()
140 const wxString PROJECT::SymbolLibTableName() const in SymbolLibTableName()
146 const wxString PROJECT::FootprintLibTblName() const in FootprintLibTblName()
246 PROJECT::_ELEM* PROJECT::GetElem( ELEM_T aIndex ) in GetElem()
[all …]
/dports/games/ufoai/ufoai-2.5-source/build/projects/
H A Dcodeblocks.mk1 PROJECT := codeblocks
5 define BUILD_$(PROJECT)_RULE
9 COMPILATION_$(PROJECT)_UNITS_$(1) := $(addprefix \t\t<Unit filename='$(SRCDIR)/,$(addsuffix '/>\n,$…
11 .PHONY: $(PROJECT)-$(1)
12 $(PROJECT)-$(1):
13 …_UNITS%%#$$(COMPILATION_$(PROJECT)_UNITS_$(1))#"g $(PROJECTSDIR)/$(PROJECT)/$(PROJECT).in > $(1)$(…
21 …@sed "s#%%NAME%%#$(1)#"g $(PROJECTSDIR)/$(PROJECT)/$(PROJECT).workspace.in > $(1)$(WORKSPACE_EXTEN…
26 $(foreach TARGET,$(TARGETS),$(eval $(call BUILD_$(PROJECT)_RULE,$(TARGET))))
28 .PHONY: $(PROJECT)
29 $(PROJECT): $(addprefix $(PROJECT)-,$(TARGETS))
H A Dcodelite.mk1 PROJECT := codelite
5 define BUILD_$(PROJECT)_RULE
10 COMPILATION_$(PROJECT)_UNITS_$(1) := \t<VirtualDirectory Name='$(SRCDIR)'>\n$(addprefix \t\t<File n…
12 .PHONY: $(PROJECT)-$(1)
13 $(PROJECT)-$(1):
14 …_UNITS%%#$$(COMPILATION_$(PROJECT)_UNITS_$(1))#"g $(PROJECTSDIR)/$(PROJECT)/$(PROJECT).in > $(1)$(…
22 …@sed "s#%%NAME%%#$(1)#"g $(PROJECTSDIR)/$(PROJECT)/$(PROJECT).workspace.in > $(1)$(WORKSPACE_EXTEN…
27 $(foreach TARGET,$(TARGETS),$(eval $(call BUILD_$(PROJECT)_RULE,$(TARGET))))
29 .PHONY: $(PROJECT)
30 $(PROJECT): $(addprefix $(PROJECT)-,$(TARGETS))
/dports/misc/gpsim/gpsim-0.31.0/examples/projects/digital_stim/
H A DMakefile25 PROJECT = digital_stim macro
38 PROJ_VER = $(PROJECT)-$(VERSION)
40 ZIP_PROJ_VER = $(PROJECT)$(VERSION)
44 all: $(PROJECT).cod
46 sim: $(PROJECT).cod
47 $(GPSIM) -c $(PROJECT).stc
49 $(PROJECT).cod: $(SOURCES)
62 zip: $(PROJECT).cod
66 cp $(PROJECT).hex $(LATEST_HEX)
74 prog: $(PROJECT).cod
[all …]
/dports/misc/gpsim/gpsim-0.31.0/examples/projects/p16f628_test/
H A DMakefile25 PROJECT = f628 macro
38 PROJ_VER = $(PROJECT)-$(VERSION)
40 ZIP_PROJ_VER = $(PROJECT)$(VERSION)
44 all: $(PROJECT).cod
46 sim: $(PROJECT).cod
47 $(GPSIM) -c $(PROJECT).stc
49 $(PROJECT).cod: $(SOURCES)
62 zip: $(PROJECT).cod
66 cp $(PROJECT).hex $(LATEST_HEX)
74 prog: $(PROJECT).cod
[all …]
/dports/misc/gpsim/gpsim-0.31.0/examples/projects/stack_test/
H A DMakefile25 PROJECT = stack_test macro
38 PROJ_VER = $(PROJECT)-$(VERSION)
40 ZIP_PROJ_VER = $(PROJECT)$(VERSION)
44 all: $(PROJECT).cod
46 sim: $(PROJECT).cod
47 $(GPSIM) -c $(PROJECT).stc
49 $(PROJECT).cod: $(SOURCES)
62 zip: $(PROJECT).cod
66 cp $(PROJECT).hex $(LATEST_HEX)
74 prog: $(PROJECT).cod
[all …]
/dports/lang/tcl85/tcl8.5.19/macosx/
H A DGNUmakefile20 SYMROOT ?= ${BUILD_DIR}/${PROJECT}
85 ${MAKE} ${action}${PROJECT} \
91 PROJECT := tcl macro
119 clean-${PROJECT} distclean-${PROJECT} test-${PROJECT}: \
127 ${PROJECT}:
149 install-${PROJECT}: build-${PROJECT}
187 clean-${PROJECT}: %-${PROJECT}:
194 distclean-${PROJECT}: %-${PROJECT}: clean-${PROJECT}
198 test-${PROJECT}: %-${PROJECT}: build-${PROJECT}
203 .PHONY: ${meta} ${targets} ${PROJECT} build-${PROJECT} install-${PROJECT} \
[all …]
/dports/lang/tcl86/tcl8.6.12/macosx/
H A DGNUmakefile20 SYMROOT ?= ${BUILD_DIR}/${PROJECT}
97 ${MAKE} ${action}${PROJECT} \
103 PROJECT := tcl macro
131 clean-${PROJECT} distclean-${PROJECT} test-${PROJECT}: \
139 ${PROJECT}:
161 install-${PROJECT}: build-${PROJECT}
190 clean-${PROJECT}: %-${PROJECT}:
197 distclean-${PROJECT}: %-${PROJECT}: clean-${PROJECT}
201 test-${PROJECT}: %-${PROJECT}: build-${PROJECT}
206 .PHONY: ${meta} ${targets} ${PROJECT} build-${PROJECT} install-${PROJECT} \
[all …]
/dports/lang/tcl87/tcl8.7a5/macosx/
H A DGNUmakefile20 SYMROOT ?= ${BUILD_DIR}/${PROJECT}
97 ${MAKE} ${action}${PROJECT} \
103 PROJECT := tcl macro
131 clean-${PROJECT} distclean-${PROJECT} test-${PROJECT}: \
139 ${PROJECT}:
161 install-${PROJECT}: build-${PROJECT}
190 clean-${PROJECT}: %-${PROJECT}:
197 distclean-${PROJECT}: %-${PROJECT}: clean-${PROJECT}
201 test-${PROJECT}: %-${PROJECT}: build-${PROJECT}
206 .PHONY: ${meta} ${targets} ${PROJECT} build-${PROJECT} install-${PROJECT} \
[all …]
/dports/audio/zrythm/zrythm-1.0.0-alpha.26.0.13/tests/
H A Dproject.c41 g_assert_nonnull (PROJECT); in test_empty_save_load()
49 PROJECT, PROJECT->dir, 0, 0, F_NO_ASYNC); in test_empty_save_load()
61 g_assert_nonnull (PROJECT); in test_save_load_with_data()
70 PROJECT, PROJECT->dir, 0, 0, F_NO_ASYNC); in test_save_load_with_data()
74 PROJECT->dir, PROJECT_FILE, NULL); in test_save_load_with_data()
79 PROJECT->audio_engine, &state, true); in test_save_load_with_data()
101 PROJECT->audio_engine, &state); in test_save_load_with_data()
166 project_free, PROJECT); in test_save_as_load_w_pool()
191 PROJECT, PROJECT->dir, F_BACKUP, false, in test_save_backup_w_pool()
194 g_assert_nonnull (PROJECT->backup_dir); in test_save_backup_w_pool()
[all …]
/dports/textproc/makefaq/makefaq-2.5/
H A DMakefile5 PROJECT = makefaq macro
14 DOCDIR = $(DOCPATH)/$(PROJECT)-$(VERSION)
46 @cp -p $(PROJECT).1 $(MANPATH)/man1
55 rm -f /tmp/$(PROJECT)-$(VERSION).tgz
56 rm -f /tmp/$(PROJECT)-$(VERSION).zip
57 rm -rf /tmp/$(PROJECT)-$(VERSION)
58 mkdir /tmp/$(PROJECT)-$(VERSION)
59 cp * /tmp/$(PROJECT)-$(VERSION)
60 (cd /tmp; tar czf /tmp/$(PROJECT)-$(VERSION).tgz ${PROJECT}-${VERSION})
61 (cd /tmp; zip -r /tmp/$(PROJECT)-$(VERSION).zip ${PROJECT}-${VERSION})
[all …]
/dports/games/kevedit/kevedit-0.5.1/inst/
H A Drelease.sh4 PROJECT=kevedit # Name of project
41 ARCHIVE=$PROJECT-$VERSION
56 cp -R $PROJECT $ARCHIVE
64 echo "$THIS: Generating $PROJECT.spec file"
65 echo "Version: $VERSION" > $ARCHIVE/$PROJECT.spec
66 echo "Release: $RELEASE" >> $ARCHIVE/$PROJECT.spec
67 cat $ARCHIVE/$SPECSOURCEDIR/$PROJECT.spec.source >> $ARCHIVE/$PROJECT.spec
70 echo "$THIS: Generating $PROJECT.version file"
71 echo "VERSIONFLAG = -D"$PROJECT"VERSION=\\\"$VERSION\\\"" > $ARCHIVE/$SOURCEDIR/$PROJECT.version
72 echo "VERSION = $VERSION" >> $ARCHIVE/$SOURCEDIR/$PROJECT.version
[all …]
/dports/www/py-google-cloud-logging/google-cloud-logging-2.7.0/tests/unit/
H A Dtest_metric.py20 PROJECT = "test-project" variable in TestMetric
36 client = _Client(self.PROJECT)
47 client = _Client(self.PROJECT)
63 client = _Client(project=self.PROJECT)
75 client = _Client(project=self.PROJECT)
92 client = _Client(project=self.PROJECT)
104 client1 = _Client(project=self.PROJECT)
105 client2 = _Client(project=self.PROJECT)
122 client = _Client(project=self.PROJECT)
145 client = _Client(project=self.PROJECT)
[all …]

12345678910>>...139