Home
last modified time | relevance | path

Searched refs:fifo (Results 1 – 25 of 6363) sorted by relevance

12345678910>>...255

/dports/net-mgmt/nsca-ng-client/nsca-ng-1.6/src/server/
H A Dfifo.c139 fifo->async_watcher.data = fifo; in fifo_start()
141 fifo->idle_watcher.data = fifo; in fifo_start()
142 fifo->timeout_watcher.data = fifo; in fifo_start()
144 fifo->open_watcher.data = fifo; in fifo_start()
145 fifo->write_watcher.data = fifo; in fifo_start()
284 if ((n = write(fifo->fd, fifo->output, fifo->output_size)) in write_cb()
352 fifo->free_aio_buf = fifo->free_output; in async_dump_data()
353 fifo->async_cb.aio_buf = fifo->output; in async_dump_data()
354 fifo->async_cb.aio_nbytes = fifo->output_size; in async_dump_data()
355 fifo->async_cb.aio_fildes = fifo->dump_fd; in async_dump_data()
[all …]
/dports/net-mgmt/nsca-ng/nsca-ng-1.6/src/server/
H A Dfifo.c139 fifo->async_watcher.data = fifo; in fifo_start()
141 fifo->idle_watcher.data = fifo; in fifo_start()
142 fifo->timeout_watcher.data = fifo; in fifo_start()
144 fifo->open_watcher.data = fifo; in fifo_start()
145 fifo->write_watcher.data = fifo; in fifo_start()
284 if ((n = write(fifo->fd, fifo->output, fifo->output_size)) in write_cb()
352 fifo->free_aio_buf = fifo->free_output; in async_dump_data()
353 fifo->async_cb.aio_buf = fifo->output; in async_dump_data()
354 fifo->async_cb.aio_nbytes = fifo->output_size; in async_dump_data()
355 fifo->async_cb.aio_fildes = fifo->dump_fd; in async_dump_data()
[all …]
/dports/devel/lua-cqueues/cqueues-rel-20200726/src/lib/
H A Dfifo.h203 if (fifo->size - fifo->head >= fifo->count) { in fifo_realign()
204 memmove(fifo->base, &fifo->base[fifo->head], fifo->count); in fifo_realign()
298 if (fifo->head + fifo->count > fifo->size && realign) in fifo_rvec()
314 if (fifo->head + fifo->count < fifo->size && realign) in fifo_wvec()
317 tail = (fifo->size)? ((fifo->head + fifo->count) % fifo->size) : 0; in fifo_wvec()
343 if (fifo->head + p < fifo->size && fifo->head + pe > fifo->size) in fifo_slice()
410 fifo->head = (fifo->head + count) % fifo->size; in fifo_discard()
429 fifo->head = (fifo->head + (fifo->size - count)) % fifo->size; in fifo_rewind()
476 fifo->base[(fifo->head + fifo->count) % fifo->size] = (0xff & c); in fifo_putc()
518 fifo->base[fifo->head] = c; in fifo_ungetc()
[all …]
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/staging/fwserial/
H A Ddma_fifo.c40 memset(fifo, 0, sizeof(*fifo)); in dma_fifo_init()
125 fifo->avail = fifo->size; in dma_fifo_reset()
158 if (FAIL(fifo, addr_check(fifo->done, fifo->in, fifo->in + n) || in dma_fifo_in()
161 fifo->in, fifo->out, fifo->done, n, fifo->avail)) in dma_fifo_in()
210 fifo->in = fifo->out; in dma_fifo_out_pend()
213 fifo->in = fifo->out; in dma_fifo_out_pend()
217 fifo->out, fifo->done, n, len, fifo->avail); in dma_fifo_out_pend()
225 if (FAIL(fifo, fifo->open > fifo->open_limit, in dma_fifo_out_pend()
229 if (FAIL(fifo, fifo->out & (fifo->align - 1), in dma_fifo_out_pend()
274 fifo->in, fifo->out, fifo->done, pending->out, in dma_fifo_out_complete()
[all …]
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/staging/fwserial/
H A Ddma_fifo.c40 memset(fifo, 0, sizeof(*fifo)); in dma_fifo_init()
125 fifo->avail = fifo->size; in dma_fifo_reset()
158 if (FAIL(fifo, addr_check(fifo->done, fifo->in, fifo->in + n) || in dma_fifo_in()
161 fifo->in, fifo->out, fifo->done, n, fifo->avail)) in dma_fifo_in()
210 fifo->in = fifo->out; in dma_fifo_out_pend()
213 fifo->in = fifo->out; in dma_fifo_out_pend()
217 fifo->out, fifo->done, n, len, fifo->avail); in dma_fifo_out_pend()
225 if (FAIL(fifo, fifo->open > fifo->open_limit, in dma_fifo_out_pend()
229 if (FAIL(fifo, fifo->out & (fifo->align - 1), in dma_fifo_out_pend()
274 fifo->in, fifo->out, fifo->done, pending->out, in dma_fifo_out_complete()
[all …]
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/staging/fwserial/
H A Ddma_fifo.c40 memset(fifo, 0, sizeof(*fifo)); in dma_fifo_init()
125 fifo->avail = fifo->size; in dma_fifo_reset()
158 if (FAIL(fifo, addr_check(fifo->done, fifo->in, fifo->in + n) || in dma_fifo_in()
161 fifo->in, fifo->out, fifo->done, n, fifo->avail)) in dma_fifo_in()
210 fifo->in = fifo->out; in dma_fifo_out_pend()
213 fifo->in = fifo->out; in dma_fifo_out_pend()
217 fifo->out, fifo->done, n, len, fifo->avail); in dma_fifo_out_pend()
225 if (FAIL(fifo, fifo->open > fifo->open_limit, in dma_fifo_out_pend()
229 if (FAIL(fifo, fifo->out & (fifo->align - 1), in dma_fifo_out_pend()
274 fifo->in, fifo->out, fifo->done, pending->out, in dma_fifo_out_complete()
[all …]
/dports/emulators/qemu60/qemu-6.0.0/roms/opensbi/lib/sbi/
H A Dsbi_fifo.c22 fifo->avail = fifo->tail = 0; in sbi_fifo_init()
29 return (fifo->avail == fifo->num_entries) ? TRUE : FALSE; in __sbi_fifo_is_full()
36 if (!fifo) in sbi_fifo_avail()
62 head = (u32)fifo->tail + fifo->avail; in __sbi_fifo_enqueue()
66 sbi_memcpy(fifo->queue + head * fifo->entry_size, data, fifo->entry_size); in __sbi_fifo_enqueue()
68 fifo->avail++; in __sbi_fifo_enqueue()
92 size_t size = (size_t)fifo->num_entries * fifo->entry_size; in __sbi_fifo_reset()
101 if (!fifo) in sbi_fifo_reset()
181 sbi_memcpy(data, fifo->queue + (u32)fifo->tail * fifo->entry_size, in sbi_fifo_dequeue()
185 fifo->tail++; in sbi_fifo_dequeue()
[all …]
/dports/emulators/qemu42/qemu-4.2.1/roms/opensbi/lib/sbi/
H A Dsbi_fifo.c22 fifo->avail = fifo->tail = 0; in sbi_fifo_init()
29 return (fifo->avail == fifo->num_entries) ? TRUE : FALSE; in __sbi_fifo_is_full()
36 if (!fifo) in sbi_fifo_avail()
62 head = (u32)fifo->tail + fifo->avail; in __sbi_fifo_enqueue()
66 sbi_memcpy(fifo->queue + head * fifo->entry_size, data, fifo->entry_size); in __sbi_fifo_enqueue()
68 fifo->avail++; in __sbi_fifo_enqueue()
92 size_t size = (size_t)fifo->num_entries * fifo->entry_size; in __sbi_fifo_reset()
101 if (!fifo) in sbi_fifo_reset()
181 sbi_memcpy(data, fifo->queue + (u32)fifo->tail * fifo->entry_size, in sbi_fifo_dequeue()
185 fifo->tail++; in sbi_fifo_dequeue()
[all …]
/dports/emulators/qemu5/qemu-5.2.0/roms/opensbi/lib/sbi/
H A Dsbi_fifo.c22 fifo->avail = fifo->tail = 0; in sbi_fifo_init()
29 return (fifo->avail == fifo->num_entries) ? TRUE : FALSE; in __sbi_fifo_is_full()
36 if (!fifo) in sbi_fifo_avail()
62 head = (u32)fifo->tail + fifo->avail; in __sbi_fifo_enqueue()
66 sbi_memcpy(fifo->queue + head * fifo->entry_size, data, fifo->entry_size); in __sbi_fifo_enqueue()
68 fifo->avail++; in __sbi_fifo_enqueue()
92 size_t size = (size_t)fifo->num_entries * fifo->entry_size; in __sbi_fifo_reset()
101 if (!fifo) in sbi_fifo_reset()
181 sbi_memcpy(data, fifo->queue + (u32)fifo->tail * fifo->entry_size, in sbi_fifo_dequeue()
185 fifo->tail++; in sbi_fifo_dequeue()
[all …]
/dports/emulators/qemu-utils/qemu-4.2.1/roms/opensbi/lib/sbi/
H A Dsbi_fifo.c22 fifo->avail = fifo->tail = 0; in sbi_fifo_init()
29 return (fifo->avail == fifo->num_entries) ? TRUE : FALSE; in __sbi_fifo_is_full()
36 if (!fifo) in sbi_fifo_avail()
62 head = (u32)fifo->tail + fifo->avail; in __sbi_fifo_enqueue()
66 sbi_memcpy(fifo->queue + head * fifo->entry_size, data, fifo->entry_size); in __sbi_fifo_enqueue()
68 fifo->avail++; in __sbi_fifo_enqueue()
92 size_t size = (size_t)fifo->num_entries * fifo->entry_size; in __sbi_fifo_reset()
101 if (!fifo) in sbi_fifo_reset()
181 sbi_memcpy(data, fifo->queue + (u32)fifo->tail * fifo->entry_size, in sbi_fifo_dequeue()
185 fifo->tail++; in sbi_fifo_dequeue()
[all …]
/dports/emulators/qemu-guest-agent/qemu-5.0.1/roms/opensbi/lib/sbi/
H A Dsbi_fifo.c22 fifo->avail = fifo->tail = 0; in sbi_fifo_init()
29 return (fifo->avail == fifo->num_entries) ? TRUE : FALSE; in __sbi_fifo_is_full()
36 if (!fifo) in sbi_fifo_avail()
62 head = (u32)fifo->tail + fifo->avail; in __sbi_fifo_enqueue()
66 sbi_memcpy(fifo->queue + head * fifo->entry_size, data, fifo->entry_size); in __sbi_fifo_enqueue()
68 fifo->avail++; in __sbi_fifo_enqueue()
92 size_t size = (size_t)fifo->num_entries * fifo->entry_size; in __sbi_fifo_reset()
101 if (!fifo) in sbi_fifo_reset()
181 sbi_memcpy(data, fifo->queue + (u32)fifo->tail * fifo->entry_size, in sbi_fifo_dequeue()
185 fifo->tail++; in sbi_fifo_dequeue()
[all …]
/dports/sysutils/opensbi/opensbi-0.9/lib/sbi/
H A Dsbi_fifo.c22 fifo->avail = fifo->tail = 0; in sbi_fifo_init()
29 return (fifo->avail == fifo->num_entries) ? TRUE : FALSE; in __sbi_fifo_is_full()
36 if (!fifo) in sbi_fifo_avail()
62 head = (u32)fifo->tail + fifo->avail; in __sbi_fifo_enqueue()
66 sbi_memcpy(fifo->queue + head * fifo->entry_size, data, fifo->entry_size); in __sbi_fifo_enqueue()
68 fifo->avail++; in __sbi_fifo_enqueue()
92 size_t size = (size_t)fifo->num_entries * fifo->entry_size; in __sbi_fifo_reset()
101 if (!fifo) in sbi_fifo_reset()
181 sbi_memcpy(data, fifo->queue + (u32)fifo->tail * fifo->entry_size, in sbi_fifo_dequeue()
185 fifo->tail++; in sbi_fifo_dequeue()
[all …]
/dports/emulators/qemu/qemu-6.2.0/roms/opensbi/lib/sbi/
H A Dsbi_fifo.c22 fifo->avail = fifo->tail = 0; in sbi_fifo_init()
29 return (fifo->avail == fifo->num_entries) ? TRUE : FALSE; in __sbi_fifo_is_full()
36 if (!fifo) in sbi_fifo_avail()
62 head = (u32)fifo->tail + fifo->avail; in __sbi_fifo_enqueue()
66 sbi_memcpy(fifo->queue + head * fifo->entry_size, data, fifo->entry_size); in __sbi_fifo_enqueue()
68 fifo->avail++; in __sbi_fifo_enqueue()
92 size_t size = (size_t)fifo->num_entries * fifo->entry_size; in __sbi_fifo_reset()
101 if (!fifo) in sbi_fifo_reset()
181 sbi_memcpy(data, fifo->queue + (u32)fifo->tail * fifo->entry_size, in sbi_fifo_dequeue()
185 fifo->tail++; in sbi_fifo_dequeue()
[all …]
/dports/www/firefox-esr/firefox-91.8.0/third_party/dav1d/examples/
H A Ddp_fifo.c53 fifo = malloc(sizeof(*fifo)); in dp_fifo_create()
64 free(fifo); in dp_fifo_create()
80 return fifo; in dp_fifo_create()
90 free(fifo); in dp_fifo_destroy()
97 while (fifo->count == fifo->capacity) { in dp_fifo_push()
99 SDL_CondWait(fifo->cond_change, fifo->lock); in dp_fifo_push()
107 fifo->entries[fifo->count++] = element; in dp_fifo_push()
127 SDL_CondWait(fifo->cond_change, fifo->lock); in dp_fifo_shift()
129 if (fifo->count == fifo->capacity - 1) in dp_fifo_shift()
141 SDL_CondWait(fifo->cond_change, fifo->lock); in dp_fifo_flush()
[all …]
/dports/multimedia/dav1d/dav1d-99172b11470776177939c3d2bc366fe8d904eab7/examples/
H A Ddp_fifo.c53 fifo = malloc(sizeof(*fifo)); in dp_fifo_create()
64 free(fifo); in dp_fifo_create()
80 return fifo; in dp_fifo_create()
90 free(fifo); in dp_fifo_destroy()
97 while (fifo->count == fifo->capacity) { in dp_fifo_push()
99 SDL_CondWait(fifo->cond_change, fifo->lock); in dp_fifo_push()
107 fifo->entries[fifo->count++] = element; in dp_fifo_push()
127 SDL_CondWait(fifo->cond_change, fifo->lock); in dp_fifo_shift()
129 if (fifo->count == fifo->capacity - 1) in dp_fifo_shift()
141 SDL_CondWait(fifo->cond_change, fifo->lock); in dp_fifo_flush()
[all …]
/dports/mail/thunderbird/thunderbird-91.8.0/third_party/dav1d/examples/
H A Ddp_fifo.c53 fifo = malloc(sizeof(*fifo)); in dp_fifo_create()
64 free(fifo); in dp_fifo_create()
80 return fifo; in dp_fifo_create()
90 free(fifo); in dp_fifo_destroy()
97 while (fifo->count == fifo->capacity) { in dp_fifo_push()
99 SDL_CondWait(fifo->cond_change, fifo->lock); in dp_fifo_push()
107 fifo->entries[fifo->count++] = element; in dp_fifo_push()
127 SDL_CondWait(fifo->cond_change, fifo->lock); in dp_fifo_shift()
129 if (fifo->count == fifo->capacity - 1) in dp_fifo_shift()
141 SDL_CondWait(fifo->cond_change, fifo->lock); in dp_fifo_flush()
[all …]
/dports/multimedia/handbrake/dav1d-0.9.0/examples/
H A Ddp_fifo.c53 fifo = malloc(sizeof(*fifo)); in dp_fifo_create()
64 free(fifo); in dp_fifo_create()
80 return fifo; in dp_fifo_create()
90 free(fifo); in dp_fifo_destroy()
97 while (fifo->count == fifo->capacity) { in dp_fifo_push()
99 SDL_CondWait(fifo->cond_change, fifo->lock); in dp_fifo_push()
107 fifo->entries[fifo->count++] = element; in dp_fifo_push()
127 SDL_CondWait(fifo->cond_change, fifo->lock); in dp_fifo_shift()
129 if (fifo->count == fifo->capacity - 1) in dp_fifo_shift()
141 SDL_CondWait(fifo->cond_change, fifo->lock); in dp_fifo_flush()
[all …]
/dports/www/chromium-legacy/chromium-88.0.4324.182/net/third_party/quiche/src/spdy/core/
H A Dfifo_write_scheduler_test.cc24 fifo.RegisterStream(3, precedence); in TEST()
26 fifo.RegisterStream(9, precedence); in TEST()
27 fifo.RegisterStream(7, precedence); in TEST()
35 fifo.MarkStreamReady(9, true); in TEST()
38 fifo.MarkStreamReady(15, true); in TEST()
39 fifo.MarkStreamReady(7, true); in TEST()
40 fifo.MarkStreamReady(13, true); in TEST()
41 fifo.MarkStreamReady(11, true); in TEST()
42 fifo.MarkStreamReady(3, true); in TEST()
43 fifo.MarkStreamReady(17, true); in TEST()
[all …]
/dports/graphics/Coin/coin-Coin-4.0.0/src/threads/
H A Dfifo.cpp82 fifo->head = NULL; in cc_fifo_struct_init()
83 fifo->tail = NULL; in cc_fifo_struct_init()
84 fifo->free = NULL; in cc_fifo_struct_init()
85 fifo->elements = 0; in cc_fifo_struct_init()
96 item = fifo->head; in cc_fifo_struct_clean()
103 item = fifo->free; in cc_fifo_struct_clean()
118 cc_fifo * fifo; in cc_fifo_new() local
121 return fifo; in cc_fifo_new()
129 free(fifo); in cc_fifo_delete()
160 cc_condvar_wait(&fifo->sleep, &fifo->access); in cc_fifo_retrieve()
[all …]
/dports/devel/directfb/DirectFB-1.4.17/gfxdrivers/cle266/
H A Duc_fifo.h71 #define UC_FIFO_FLUSH(fifo) uc_fifo_flush_sys(fifo,ucdrv->hwregs) argument
72 #define UC_FIFO_FLUSH_SYS(fifo) uc_fifo_flush_sys(fifo,ucdrv->hwregs) argument
92 if ((fifo)->used + dwsize + 32 > (fifo)->size) { \
96 if (dwsize + (fifo)->prep + 32 > (fifo)->size) { \
106 if ((fifo)->used + dwsize + 32 > (fifo)->size) { \
119 #define UC_FIFO_ADD(fifo, data) \ argument
121 *((fifo)->head) = (data); \
229 #define UC_FIFO_PAD_EVEN(fifo) \ argument
230 if (fifo->used & 1) UC_FIFO_ADD(fifo, HC_DUMMY)
241 if ((fifo)->used > ((fifo)->size) - 32) { \
[all …]
/dports/devel/directfb/DirectFB-1.4.17/gfxdrivers/unichrome/
H A Duc_fifo.h71 #define UC_FIFO_FLUSH(fifo) uc_fifo_flush_sys(fifo,ucdrv->hwregs) argument
72 #define UC_FIFO_FLUSH_SYS(fifo) uc_fifo_flush_sys(fifo,ucdrv->hwregs) argument
92 if ((fifo)->used + dwsize + 32 > (fifo)->size) { \
96 if (dwsize + (fifo)->prep + 32 > (fifo)->size) { \
106 if ((fifo)->used + dwsize + 32 > (fifo)->size) { \
119 #define UC_FIFO_ADD(fifo, data) \ argument
121 *((fifo)->head) = (data); \
229 #define UC_FIFO_PAD_EVEN(fifo) \ argument
230 if (fifo->used & 1) UC_FIFO_ADD(fifo, HC_DUMMY)
241 if ((fifo)->used > ((fifo)->size) - 32) { \
[all …]
/dports/lang/micropython/micropython-1.17/ports/cc3200/util/
H A Dfifo.c39 if (fifo) { in FIFO_Init()
50 if (!fifo) { in FIFO_bPushElement()
59 if (fifo->uiElementsMax > fifo->uiElementCount) { in FIFO_bPushElement()
63 if (fifo->uiLast == fifo->uiElementsMax) { in FIFO_bPushElement()
67 fifo->pfElementPush(fifo, pvElement); in FIFO_bPushElement()
81 fifo->pfElementPop(fifo, pvElement); in FIFO_bPopElement()
87 if (fifo->uiFirst == fifo->uiElementsMax) { in FIFO_bPopElement()
102 fifo->pfElementPop(fifo, pvElement); in FIFO_bPeekElement()
107 if (fifo) { in FIFO_IsEmpty()
114 if (fifo) { in FIFO_IsFull()
[all …]
/dports/java/apache-commons-collections/commons-collections-3.2.2-src/src/test/org/apache/commons/collections/buffer/
H A DTestCircularFifoBuffer.java210 fifo.add("1"); in testRemoveError1()
211 fifo.add("2"); in testRemoveError1()
212 fifo.add("3"); in testRemoveError1()
213 fifo.add("4"); in testRemoveError1()
214 fifo.add("5"); in testRemoveError1()
228 fifo.add("1"); in testRemoveError2()
229 fifo.add("2"); in testRemoveError2()
230 fifo.add("3"); in testRemoveError2()
231 fifo.add("4"); in testRemoveError2()
232 fifo.add("5"); in testRemoveError2()
[all …]
/dports/www/qt5-webengine/qtwebengine-everywhere-src-5.15.2/src/3rdparty/chromium/net/third_party/quiche/src/spdy/core/
H A Dfifo_write_scheduler_test.cc24 fifo.RegisterStream(3, precedence); in TEST()
26 fifo.RegisterStream(9, precedence); in TEST()
27 fifo.RegisterStream(7, precedence); in TEST()
35 fifo.MarkStreamReady(9, true); in TEST()
38 fifo.MarkStreamReady(15, true); in TEST()
39 fifo.MarkStreamReady(7, true); in TEST()
40 fifo.MarkStreamReady(13, true); in TEST()
41 fifo.MarkStreamReady(11, true); in TEST()
42 fifo.MarkStreamReady(3, true); in TEST()
43 fifo.MarkStreamReady(17, true); in TEST()
[all …]
/dports/emulators/qemu5/qemu-5.2.0/util/
H A Dfifo8.c34 if (fifo->num == fifo->capacity) { in fifo8_push()
37 fifo->data[(fifo->head + fifo->num) % fifo->capacity] = data; in fifo8_push()
45 if (fifo->num + num > fifo->capacity) { in fifo8_push_all()
49 start = (fifo->head + fifo->num) % fifo->capacity; in fifo8_push_all()
69 ret = fifo->data[fifo->head++]; in fifo8_pop()
70 fifo->head %= fifo->capacity; in fifo8_pop()
82 *num = MIN(fifo->capacity - fifo->head, max); in fifo8_pop_buf()
83 ret = &fifo->data[fifo->head]; in fifo8_pop_buf()
85 fifo->head %= fifo->capacity; in fifo8_pop_buf()
103 return (fifo->num == fifo->capacity); in fifo8_is_full()
[all …]

12345678910>>...255