Home
last modified time | relevance | path

Searched refs:AVR_IRQ (Results 1 – 7 of 7) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/firmware/e300/rev_b/
H A Dpower.c109 static io_pin_t AVR_IRQ = IO_PD(5); variable
251 io_set_pin(AVR_IRQ); // FIXME: Active low? in power_signal_interrupt()
523 io_input_pin(AVR_IRQ); in power_init()
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/firmware/e300/rev_c/
H A Dpower.c108 static io_pin_t AVR_IRQ = IO_PD(5); variable
237 io_set_pin(AVR_IRQ); // FIXME: Active low? in power_signal_interrupt()
515 io_input_pin(AVR_IRQ); in power_init()
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/e31x/
H A De31x_idle.v40 output AVR_IRQ, port
385 assign AVR_IRQ = 1'b0;
H A De31x.v58 output AVR_IRQ, port
1003 assign AVR_IRQ = 1'b0;
H A De31x_idle_pins.xdc471 set_property PACKAGE_PIN B22 [get_ports AVR_IRQ]
472 set_property IOSTANDARD LVCMOS33 [get_ports AVR_IRQ]
H A De31x_pins.xdc471 set_property PACKAGE_PIN B22 [get_ports AVR_IRQ]
472 set_property IOSTANDARD LVCMOS33 [get_ports AVR_IRQ]
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/firmware/e300/battery/
H A Dpmu.c57 static io_pin_t AVR_IRQ = IO_PD(5); variable
293 io_input_pin(AVR_IRQ); in pmu_init()
294 io_set_pin(AVR_IRQ); // enable pull-up ? in pmu_init()