Home
last modified time | relevance | path

Searched refs:BITSET_WORD_MAX (Results 1 – 25 of 152) sorted by relevance

1234567

/dports/net/gnu-radius/radius-1.6.1/gnu/
H A Dregex_internal.h159 #define BITSET_WORD_MAX ULONG_MAX macro
166 #if BITSET_WORD_MAX == 0xffffffffUL
168 #elif BITSET_WORD_MAX >> 31 >> 4 == 1
170 #elif BITSET_WORD_MAX >> 31 >> 16 == 1
172 #elif BITSET_WORD_MAX >> 31 >> 28 == 1
174 #elif BITSET_WORD_MAX >> 31 >> 31 >> 1 == 1
176 #elif BITSET_WORD_MAX >> 31 >> 31 >> 9 == 1
178 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 3 == 1
180 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 == 1
182 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 > 1
[all …]
/dports/devel/idutils/idutils-4.6/lib/
H A Dregex_internal.h155 #define BITSET_WORD_MAX ULONG_MAX macro
162 #if BITSET_WORD_MAX == 0xffffffffUL
164 #elif BITSET_WORD_MAX >> 31 >> 4 == 1
166 #elif BITSET_WORD_MAX >> 31 >> 16 == 1
168 #elif BITSET_WORD_MAX >> 31 >> 28 == 1
170 #elif BITSET_WORD_MAX >> 31 >> 31 >> 1 == 1
172 #elif BITSET_WORD_MAX >> 31 >> 31 >> 9 == 1
174 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 3 == 1
176 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 == 1
178 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 > 1
/dports/misc/amanda-server/amanda-3.3.9/gnulib/
H A Dregex_internal.h148 #define BITSET_WORD_MAX ULONG_MAX macro
155 #if BITSET_WORD_MAX == 0xffffffffUL
157 #elif BITSET_WORD_MAX >> 31 >> 4 == 1
159 #elif BITSET_WORD_MAX >> 31 >> 16 == 1
161 #elif BITSET_WORD_MAX >> 31 >> 28 == 1
163 #elif BITSET_WORD_MAX >> 31 >> 31 >> 1 == 1
165 #elif BITSET_WORD_MAX >> 31 >> 31 >> 9 == 1
167 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 3 == 1
169 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 == 1
171 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 > 1
/dports/misc/amanda-client/amanda-3.3.9/gnulib/
H A Dregex_internal.h148 #define BITSET_WORD_MAX ULONG_MAX macro
155 #if BITSET_WORD_MAX == 0xffffffffUL
157 #elif BITSET_WORD_MAX >> 31 >> 4 == 1
159 #elif BITSET_WORD_MAX >> 31 >> 16 == 1
161 #elif BITSET_WORD_MAX >> 31 >> 28 == 1
163 #elif BITSET_WORD_MAX >> 31 >> 31 >> 1 == 1
165 #elif BITSET_WORD_MAX >> 31 >> 31 >> 9 == 1
167 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 3 == 1
169 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 == 1
171 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 > 1
/dports/lang/guile2/guile-2.2.7/lib/
H A Dregex_internal.h177 #define BITSET_WORD_MAX ULONG_MAX macro
184 #if BITSET_WORD_MAX == 0xffffffffUL
186 #elif BITSET_WORD_MAX >> 31 >> 4 == 1
188 #elif BITSET_WORD_MAX >> 31 >> 16 == 1
190 #elif BITSET_WORD_MAX >> 31 >> 28 == 1
192 #elif BITSET_WORD_MAX >> 31 >> 31 >> 1 == 1
194 #elif BITSET_WORD_MAX >> 31 >> 31 >> 9 == 1
196 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 3 == 1
198 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 == 1
200 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 > 1
/dports/net/gnu-dico/dico-2.10/xdico/gnu/
H A Dregex_internal.h174 #define BITSET_WORD_MAX ULONG_MAX macro
181 #if BITSET_WORD_MAX == 0xffffffffUL
183 #elif BITSET_WORD_MAX >> 31 >> 4 == 1
185 #elif BITSET_WORD_MAX >> 31 >> 16 == 1
187 #elif BITSET_WORD_MAX >> 31 >> 28 == 1
189 #elif BITSET_WORD_MAX >> 31 >> 31 >> 1 == 1
191 #elif BITSET_WORD_MAX >> 31 >> 31 >> 9 == 1
193 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 3 == 1
195 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 == 1
197 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 > 1
/dports/shells/rush/rush-2.1/gnu/
H A Dregex_internal.h176 #define BITSET_WORD_MAX ULONG_MAX macro
183 #if BITSET_WORD_MAX == 0xffffffffUL
185 #elif BITSET_WORD_MAX >> 31 >> 4 == 1
187 #elif BITSET_WORD_MAX >> 31 >> 16 == 1
189 #elif BITSET_WORD_MAX >> 31 >> 28 == 1
191 #elif BITSET_WORD_MAX >> 31 >> 31 >> 1 == 1
193 #elif BITSET_WORD_MAX >> 31 >> 31 >> 9 == 1
195 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 3 == 1
197 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 == 1
199 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 > 1
/dports/databases/recutils/recutils-1.8/lib/
H A Dregex_internal.h174 #define BITSET_WORD_MAX ULONG_MAX macro
181 #if BITSET_WORD_MAX == 0xffffffffUL
183 #elif BITSET_WORD_MAX >> 31 >> 4 == 1
185 #elif BITSET_WORD_MAX >> 31 >> 16 == 1
187 #elif BITSET_WORD_MAX >> 31 >> 28 == 1
189 #elif BITSET_WORD_MAX >> 31 >> 31 >> 1 == 1
191 #elif BITSET_WORD_MAX >> 31 >> 31 >> 9 == 1
193 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 3 == 1
195 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 == 1
197 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 > 1
/dports/editors/emacs/emacs-27.2/lib/
H A Dregex_internal.h166 #define BITSET_WORD_MAX ULONG_MAX macro
173 #if BITSET_WORD_MAX == 0xffffffffUL
175 #elif BITSET_WORD_MAX >> 31 >> 4 == 1
177 #elif BITSET_WORD_MAX >> 31 >> 16 == 1
179 #elif BITSET_WORD_MAX >> 31 >> 28 == 1
181 #elif BITSET_WORD_MAX >> 31 >> 31 >> 1 == 1
183 #elif BITSET_WORD_MAX >> 31 >> 31 >> 9 == 1
185 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 3 == 1
187 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 == 1
189 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 > 1
/dports/deskutils/gcal/gcal-4.1/lib/
H A Dregex_internal.h177 #define BITSET_WORD_MAX ULONG_MAX macro
184 #if BITSET_WORD_MAX == 0xffffffffUL
186 #elif BITSET_WORD_MAX >> 31 >> 4 == 1
188 #elif BITSET_WORD_MAX >> 31 >> 16 == 1
190 #elif BITSET_WORD_MAX >> 31 >> 28 == 1
192 #elif BITSET_WORD_MAX >> 31 >> 31 >> 1 == 1
194 #elif BITSET_WORD_MAX >> 31 >> 31 >> 9 == 1
196 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 3 == 1
198 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 == 1
200 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 > 1
/dports/devel/cvs-devel/cvs-1.12.13/lib/
H A Dregex_internal.h155 #define BITSET_WORD_MAX ULONG_MAX macro
160 #if BITSET_WORD_MAX == 0xffffffff
162 #elif BITSET_WORD_MAX >> 31 >> 5 == 1
164 #elif BITSET_WORD_MAX >> 31 >> 16 == 1
166 #elif BITSET_WORD_MAX >> 31 >> 28 == 1
168 #elif BITSET_WORD_MAX >> 31 >> 31 >> 1 == 1
170 #elif BITSET_WORD_MAX >> 31 >> 31 >> 9 == 1
172 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 3 == 1
174 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 == 1
176 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 > 1
/dports/editors/zile/zile-2.4.14/lib/
H A Dregex_internal.h178 #define BITSET_WORD_MAX ULONG_MAX macro
185 #if BITSET_WORD_MAX == 0xffffffffUL
187 #elif BITSET_WORD_MAX >> 31 >> 4 == 1
189 #elif BITSET_WORD_MAX >> 31 >> 16 == 1
191 #elif BITSET_WORD_MAX >> 31 >> 28 == 1
193 #elif BITSET_WORD_MAX >> 31 >> 31 >> 1 == 1
195 #elif BITSET_WORD_MAX >> 31 >> 31 >> 9 == 1
197 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 3 == 1
199 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 == 1
201 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 > 1
/dports/devel/libdap/libdap-3.20.8/gl/
H A Dregex_internal.h178 #define BITSET_WORD_MAX ULONG_MAX macro
185 #if BITSET_WORD_MAX == 0xffffffffUL
187 #elif BITSET_WORD_MAX >> 31 >> 4 == 1
189 #elif BITSET_WORD_MAX >> 31 >> 16 == 1
191 #elif BITSET_WORD_MAX >> 31 >> 28 == 1
193 #elif BITSET_WORD_MAX >> 31 >> 31 >> 1 == 1
195 #elif BITSET_WORD_MAX >> 31 >> 31 >> 9 == 1
197 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 3 == 1
199 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 == 1
201 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 > 1
/dports/lang/clisp/clisp-df3b9f6fdcff22832898e89a989eb499c0f842ed/src/gllib/
H A Dregex_internal.h178 #define BITSET_WORD_MAX ULONG_MAX macro
185 #if BITSET_WORD_MAX == 0xffffffffUL
187 #elif BITSET_WORD_MAX >> 31 >> 4 == 1
189 #elif BITSET_WORD_MAX >> 31 >> 16 == 1
191 #elif BITSET_WORD_MAX >> 31 >> 28 == 1
193 #elif BITSET_WORD_MAX >> 31 >> 31 >> 1 == 1
195 #elif BITSET_WORD_MAX >> 31 >> 31 >> 9 == 1
197 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 3 == 1
199 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 == 1
201 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 > 1
/dports/sysutils/smartmontools/smartmontools-7.2/regex/
H A Dregex_internal.h200 #define BITSET_WORD_MAX ULONG_MAX macro
207 #if BITSET_WORD_MAX == 0xffffffffUL
209 #elif BITSET_WORD_MAX >> 31 >> 4 == 1
211 #elif BITSET_WORD_MAX >> 31 >> 16 == 1
213 #elif BITSET_WORD_MAX >> 31 >> 28 == 1
215 #elif BITSET_WORD_MAX >> 31 >> 31 >> 1 == 1
217 #elif BITSET_WORD_MAX >> 31 >> 31 >> 9 == 1
219 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 3 == 1
221 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 == 1
223 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 > 1
/dports/misc/gnuls/coreutils-8.30/lib/
H A Dregex_internal.h178 #define BITSET_WORD_MAX ULONG_MAX macro
185 #if BITSET_WORD_MAX == 0xffffffffUL
187 #elif BITSET_WORD_MAX >> 31 >> 4 == 1
189 #elif BITSET_WORD_MAX >> 31 >> 16 == 1
191 #elif BITSET_WORD_MAX >> 31 >> 28 == 1
193 #elif BITSET_WORD_MAX >> 31 >> 31 >> 1 == 1
195 #elif BITSET_WORD_MAX >> 31 >> 31 >> 9 == 1
197 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 3 == 1
199 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 == 1
201 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 > 1
/dports/sysutils/renameutils/renameutils-0.12.0/gnulib-lib/
H A Dregex_internal.h154 #define BITSET_WORD_MAX ULONG_MAX macro
161 #if BITSET_WORD_MAX == 0xffffffffUL
163 #elif BITSET_WORD_MAX >> 31 >> 4 == 1
165 #elif BITSET_WORD_MAX >> 31 >> 16 == 1
167 #elif BITSET_WORD_MAX >> 31 >> 28 == 1
169 #elif BITSET_WORD_MAX >> 31 >> 31 >> 1 == 1
171 #elif BITSET_WORD_MAX >> 31 >> 31 >> 9 == 1
173 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 3 == 1
175 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 == 1
177 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 > 1
/dports/textproc/gsed/sed-4.8/lib/
H A Dregex_internal.h166 #define BITSET_WORD_MAX ULONG_MAX macro
173 #if BITSET_WORD_MAX == 0xffffffffUL
175 #elif BITSET_WORD_MAX >> 31 >> 4 == 1
177 #elif BITSET_WORD_MAX >> 31 >> 16 == 1
179 #elif BITSET_WORD_MAX >> 31 >> 28 == 1
181 #elif BITSET_WORD_MAX >> 31 >> 31 >> 1 == 1
183 #elif BITSET_WORD_MAX >> 31 >> 31 >> 9 == 1
185 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 3 == 1
187 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 == 1
189 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 > 1
/dports/textproc/augeas/augeas-1.12.0/gnulib/lib/
H A Dregex_internal.h174 #define BITSET_WORD_MAX ULONG_MAX macro
181 #if BITSET_WORD_MAX == 0xffffffffUL
183 #elif BITSET_WORD_MAX >> 31 >> 4 == 1
185 #elif BITSET_WORD_MAX >> 31 >> 16 == 1
187 #elif BITSET_WORD_MAX >> 31 >> 28 == 1
189 #elif BITSET_WORD_MAX >> 31 >> 31 >> 1 == 1
191 #elif BITSET_WORD_MAX >> 31 >> 31 >> 9 == 1
193 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 3 == 1
195 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 == 1
197 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 > 1
/dports/net-mgmt/nagios-plugins/nagios-plugins-2.3.3/gl/
H A Dregex_internal.h186 #define BITSET_WORD_MAX ULONG_MAX macro
193 #if BITSET_WORD_MAX == 0xffffffffUL
195 #elif BITSET_WORD_MAX >> 31 >> 4 == 1
197 #elif BITSET_WORD_MAX >> 31 >> 16 == 1
199 #elif BITSET_WORD_MAX >> 31 >> 28 == 1
201 #elif BITSET_WORD_MAX >> 31 >> 31 >> 1 == 1
203 #elif BITSET_WORD_MAX >> 31 >> 31 >> 9 == 1
205 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 3 == 1
207 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 == 1
209 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 > 1
/dports/net-mgmt/monitoring-plugins/monitoring-plugins-2.3.1/gl/
H A Dregex_internal.h186 #define BITSET_WORD_MAX ULONG_MAX macro
193 #if BITSET_WORD_MAX == 0xffffffffUL
195 #elif BITSET_WORD_MAX >> 31 >> 4 == 1
197 #elif BITSET_WORD_MAX >> 31 >> 16 == 1
199 #elif BITSET_WORD_MAX >> 31 >> 28 == 1
201 #elif BITSET_WORD_MAX >> 31 >> 31 >> 1 == 1
203 #elif BITSET_WORD_MAX >> 31 >> 31 >> 9 == 1
205 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 3 == 1
207 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 == 1
209 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 > 1
/dports/security/libprelude/libprelude-1.2.6/libmissing/
H A Dregex_internal.h186 #define BITSET_WORD_MAX ULONG_MAX macro
193 #if BITSET_WORD_MAX == 0xffffffffUL
195 #elif BITSET_WORD_MAX >> 31 >> 4 == 1
197 #elif BITSET_WORD_MAX >> 31 >> 16 == 1
199 #elif BITSET_WORD_MAX >> 31 >> 28 == 1
201 #elif BITSET_WORD_MAX >> 31 >> 31 >> 1 == 1
203 #elif BITSET_WORD_MAX >> 31 >> 31 >> 9 == 1
205 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 3 == 1
207 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 == 1
209 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 > 1
/dports/mail/anubis/anubis-4.2/lib/
H A Dregex_internal.h188 #define BITSET_WORD_MAX ULONG_MAX macro
195 #if BITSET_WORD_MAX == 0xffffffffUL
197 #elif BITSET_WORD_MAX >> 31 >> 4 == 1
199 #elif BITSET_WORD_MAX >> 31 >> 16 == 1
201 #elif BITSET_WORD_MAX >> 31 >> 28 == 1
203 #elif BITSET_WORD_MAX >> 31 >> 31 >> 1 == 1
205 #elif BITSET_WORD_MAX >> 31 >> 31 >> 9 == 1
207 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 3 == 1
209 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 == 1
211 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 > 1
/dports/devel/gnulib/gnulib-20140202-stable/lib/
H A Dregex_internal.h186 #define BITSET_WORD_MAX ULONG_MAX macro
193 #if BITSET_WORD_MAX == 0xffffffffUL
195 #elif BITSET_WORD_MAX >> 31 >> 4 == 1
197 #elif BITSET_WORD_MAX >> 31 >> 16 == 1
199 #elif BITSET_WORD_MAX >> 31 >> 28 == 1
201 #elif BITSET_WORD_MAX >> 31 >> 31 >> 1 == 1
203 #elif BITSET_WORD_MAX >> 31 >> 31 >> 9 == 1
205 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 3 == 1
207 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 == 1
209 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 > 1
/dports/textproc/wdiff/wdiff-1.2.2/lib/
H A Dregex_internal.h186 #define BITSET_WORD_MAX ULONG_MAX macro
193 #if BITSET_WORD_MAX == 0xffffffffUL
195 #elif BITSET_WORD_MAX >> 31 >> 4 == 1
197 #elif BITSET_WORD_MAX >> 31 >> 16 == 1
199 #elif BITSET_WORD_MAX >> 31 >> 28 == 1
201 #elif BITSET_WORD_MAX >> 31 >> 31 >> 1 == 1
203 #elif BITSET_WORD_MAX >> 31 >> 31 >> 9 == 1
205 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 3 == 1
207 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 == 1
209 #elif BITSET_WORD_MAX >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 31 >> 7 > 1

1234567