Home
last modified time | relevance | path

Searched refs:DB0_DAC_DCI_N (Results 1 – 2 of 2) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/x300/
H A Dx300.v134 output DB0_DAC_DCI_P, output DB0_DAC_DCI_N, port
621 .tx_clk_2x_p(DB0_DAC_DCI_P), .tx_clk_2x_n(DB0_DAC_DCI_N),
H A Dx300.xdc141 set_property PACKAGE_PIN M23 [get_ports DB0_DAC_DCI_N]