Home
last modified time | relevance | path

Searched refs:DB0_RX_LSADC_MISO (Results 1 – 2 of 2) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/x300/
H A Dx300.v153 input DB0_RX_LSADC_MISO, input DB0_RX_MISO, input DB0_TX_LSADC_MISO, input DB0_TX_MISO, port
544 assign miso0 = (~DB0_RX_LSADC_SEN & DB0_RX_LSADC_MISO) |
H A Dx300.xdc268 set_property PACKAGE_PIN C27 [get_ports DB0_RX_LSADC_MISO]
269 set_property IOSTANDARD LVCMOS33 [get_ports DB0_RX_LSADC_MISO]