Home
last modified time | relevance | path

Searched refs:DB1_RX_IO (Results 1 – 2 of 2) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/x300/
H A Dx300.xdc220 set_property PACKAGE_PIN F16 [get_ports {DB1_RX_IO[0]}]
221 set_property PACKAGE_PIN A15 [get_ports {DB1_RX_IO[1]}]
222 set_property PACKAGE_PIN B14 [get_ports {DB1_RX_IO[2]}]
223 set_property PACKAGE_PIN B15 [get_ports {DB1_RX_IO[3]}]
224 set_property PACKAGE_PIN C15 [get_ports {DB1_RX_IO[4]}]
225 set_property PACKAGE_PIN A13 [get_ports {DB1_RX_IO[5]}]
226 set_property PACKAGE_PIN B13 [get_ports {DB1_RX_IO[6]}]
227 set_property PACKAGE_PIN C14 [get_ports {DB1_RX_IO[7]}]
228 set_property PACKAGE_PIN D14 [get_ports {DB1_RX_IO[8]}]
229 set_property PACKAGE_PIN E15 [get_ports {DB1_RX_IO[9]}]
[all …]
H A Dx300.v184 inout [15:0] DB1_RX_IO, port
1303 .clk(radio_clk), .gpio_pins({DB1_TX_IO,DB1_RX_IO}),