Home
last modified time | relevance | path

Searched refs:DB_SDA (Results 1 – 4 of 4) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/e31x/
H A De31x_pins.xdc73 #set_property PACKAGE_PIN J22 [get_ports DB_SDA]
74 #set_property IOSTANDARD LVCMOS18 [get_ports DB_SDA]
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/x300/
H A Dx300.v163 inout DB_SCL, inout DB_SDA, port
1347 .db_scl(DB_SCL), .db_sda(DB_SDA),
H A Dx300.xdc358 set_property PACKAGE_PIN E25 [get_ports DB_SDA]
359 set_property IOSTANDARD LVCMOS33 [get_ports DB_SDA]
H A Dtiming.xdc574 set_max_delay 10.000 -to [get_ports {DB_SCL DB_SDA DB0_DAC_ENABLE DB1_DAC_ENABLE DB_ADC_RESET DB_…
575 set_max_delay 10.000 -from [get_ports {DB_SCL DB_SDA DB_DAC_MOSI}]