/dports/lang/swi-pl/swipl-8.2.3/packages/xpce/prolog/lib/doc/ |
H A D | window.pl | 60 -> send(DW, url, URL) 90 parbox(DW, PB:pbox) :<- 99 get(DW, parbox, PB), 119 send(DW, clear), 120 get(DW, parbox, PB), 127 send(DW, clear), 171 get(DW, url, URL), 182 backward(DW) :-> 188 forward(DW) :-> 194 find(DW) :-> [all …]
|
H A D | browser.pl | 110 get(TB, document_window, DW), 111 get(DW, url, URL), 112 send(DW, url, @nil), 113 send(DW, url, URL). 118 get(TB, document_window, DW), 119 send(DW, backward). 124 get(TB, document_window, DW), 125 send(DW, forward). 130 get(TB, document_window, DW), 131 get(DW, page_source, File), [all …]
|
/dports/cad/verilator/verilator-4.216/test_regress/t/ |
H A D | t_tri_array_bufif.v | 17 parameter DW = 4; constant 30 .drv (drv[DW-1:0]), 32 .drv_a (drv_a[DW-1:0]), 33 .drv_b (drv_b[DW-1:0]), 34 .drv_e (drv_e[DW-1:0])); 37 .drv2 (drv2[DW-1:0]), 39 .drv_a (drv_a[DW-1:0]), 40 .drv_b (drv_b[DW-1:0]), 79 parameter DW = 4 constant 84 inout wire [DW-1:0] drv [all …]
|
/dports/devel/z88dk/z88dk/src/z80asm/t/data/ |
H A D | CAMEL80H.AZM | 114 DW 18 127 QNEG1: DW EXIT 138 DW EXIT 144 DNEG1: DW EXIT 171 DW EXIT 187 FMMOD2: DW EXIT 331 TYP4: DW DROP 332 TYP5: DW EXIT 338 DW EXIT 353 DW EXIT [all …]
|
H A D | CAMEL80.PRN | 52 DW link 63 DW link 135 0116 0000 + DW link 159 012F 1901 + DW link 186 0144 3201 + DW link 225 0164 4701 + DW link 254 018A 6701 + DW link 283 01AB 8D01 + DW link 347 01E4 AE01 + DW link 379 0205 E701 + DW link [all …]
|
/dports/devel/asl/asl-current/tests/t_bas52/ |
H A D | look52.inc | 319 DW CLIST ;LIST 320 DW CNULL ;NULL 321 DW CNEW ;NEW 325 DW CRAM ;RAM MODE 326 DW CROM ;ROM MODE 337 DW SLET ;LET 80H 360 DW S_DO ;DO 94H 362 DW WCR ;REM 96H 365 DW S_ON ;ON 99H 370 DW SIF ;IF 9EH [all …]
|
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/bug18810/ |
H A D | DMEM.vhd | 11 DW : integer range 1 to integer'high := 8; generic in DMEM_PRIM_PKG.DMEM_PRIM 18 iWD : in std_logic_vector(DW-1 downto 0); 23 oRD0 : out std_logic_vector(DW-1 downto 0); 24 oRD1 : out std_logic_vector(DW-1 downto 0); 25 oRD2 : out std_logic_vector(DW-1 downto 0) 48 DW : integer range 1 to integer'high := 8; generic 55 iWD : in std_logic_vector(DW-1 downto 0); 60 oRD0 : out std_logic_vector(DW-1 downto 0); 62 oRD2 : out std_logic_vector(DW-1 downto 0) 202 DW => DW, [all …]
|
/dports/emulators/qemu42/qemu-4.2.1/roms/edk2/UefiCpuPkg/PiSmmCpuDxeSmm/Ia32/ |
H A D | SmiException.nasm | 56 DW -1 57 DW 0 63 DW -1 64 DW 0 225 DW PSD_SIZE 226 DW 2 227 DW 1 << 2 228 DW CODE_SEL 232 DW 0 246 DW GDT_SIZE - 1 [all …]
|
/dports/emulators/qemu/qemu-6.2.0/roms/edk2/UefiCpuPkg/PiSmmCpuDxeSmm/Ia32/ |
H A D | SmiException.nasm | 56 DW -1 57 DW 0 63 DW -1 64 DW 0 225 DW PSD_SIZE 226 DW 2 227 DW 1 << 2 228 DW CODE_SEL 232 DW 0 246 DW GDT_SIZE - 1 [all …]
|
/dports/sysutils/uefi-edk2-qemu/edk2-edk2-stable201911/UefiCpuPkg/PiSmmCpuDxeSmm/Ia32/ |
H A D | SmiException.nasm | 56 DW -1 57 DW 0 63 DW -1 64 DW 0 225 DW PSD_SIZE 226 DW 2 227 DW 1 << 2 228 DW CODE_SEL 232 DW 0 246 DW GDT_SIZE - 1 [all …]
|
/dports/emulators/qemu-utils/qemu-4.2.1/roms/edk2/UefiCpuPkg/PiSmmCpuDxeSmm/Ia32/ |
H A D | SmiException.nasm | 56 DW -1 57 DW 0 63 DW -1 64 DW 0 225 DW PSD_SIZE 226 DW 2 227 DW 1 << 2 228 DW CODE_SEL 232 DW 0 246 DW GDT_SIZE - 1 [all …]
|
/dports/emulators/qemu5/qemu-5.2.0/roms/edk2/UefiCpuPkg/PiSmmCpuDxeSmm/Ia32/ |
H A D | SmiException.nasm | 56 DW -1 57 DW 0 63 DW -1 64 DW 0 225 DW PSD_SIZE 226 DW 2 227 DW 1 << 2 228 DW CODE_SEL 232 DW 0 246 DW GDT_SIZE - 1 [all …]
|
/dports/sysutils/uefi-edk2-bhyve/edk2-edk2-stable202102/UefiCpuPkg/PiSmmCpuDxeSmm/Ia32/ |
H A D | SmiException.nasm | 56 DW -1 57 DW 0 63 DW -1 64 DW 0 225 DW PSD_SIZE 226 DW 2 227 DW 1 << 2 228 DW CODE_SEL 232 DW 0 246 DW GDT_SIZE - 1 [all …]
|
/dports/emulators/qemu-guest-agent/qemu-5.0.1/roms/edk2/UefiCpuPkg/PiSmmCpuDxeSmm/Ia32/ |
H A D | SmiException.nasm | 56 DW -1 57 DW 0 63 DW -1 64 DW 0 225 DW PSD_SIZE 226 DW 2 227 DW 1 << 2 228 DW CODE_SEL 232 DW 0 246 DW GDT_SIZE - 1 [all …]
|
/dports/sysutils/edk2/edk2-edk2-stable202102/UefiCpuPkg/PiSmmCpuDxeSmm/Ia32/ |
H A D | SmiException.nasm | 56 DW -1 57 DW 0 63 DW -1 64 DW 0 225 DW PSD_SIZE 226 DW 2 227 DW 1 << 2 228 DW CODE_SEL 232 DW 0 246 DW GDT_SIZE - 1 [all …]
|
/dports/emulators/qemu60/qemu-6.0.0/roms/edk2/UefiCpuPkg/PiSmmCpuDxeSmm/Ia32/ |
H A D | SmiException.nasm | 56 DW -1 57 DW 0 63 DW -1 64 DW 0 225 DW PSD_SIZE 226 DW 2 227 DW 1 << 2 228 DW CODE_SEL 232 DW 0 246 DW GDT_SIZE - 1 [all …]
|
/dports/editors/libreoffice/libreoffice-7.2.6.2/bridges/source/cpp_uno/gcc3_linux_mips64/ |
H A D | call.s | 113 .8byte DW.ref._ZTIi 119 .hidden DW.ref._ZTIi 120 .weak DW.ref._ZTIi 121 .section .data.DW.ref._ZTIi,"awG",@progbits,DW.ref._ZTIi,comdat 123 .type DW.ref._ZTIi, @object 124 .size DW.ref._ZTIi, 8 125 DW.ref._ZTIi: 127 .hidden DW.ref.__gxx_personality_v0 128 .weak DW.ref.__gxx_personality_v0 129 … .section .data.DW.ref.__gxx_personality_v0,"awG",@progbits,DW.ref.__gxx_personality_v0,comdat [all …]
|
/dports/editors/libreoffice6/libreoffice-6.4.7.2/bridges/source/cpp_uno/gcc3_linux_mips64/ |
H A D | call.s | 113 .8byte DW.ref._ZTIi 119 .hidden DW.ref._ZTIi 120 .weak DW.ref._ZTIi 121 .section .data.DW.ref._ZTIi,"awG",@progbits,DW.ref._ZTIi,comdat 123 .type DW.ref._ZTIi, @object 124 .size DW.ref._ZTIi, 8 125 DW.ref._ZTIi: 127 .hidden DW.ref.__gxx_personality_v0 128 .weak DW.ref.__gxx_personality_v0 129 … .section .data.DW.ref.__gxx_personality_v0,"awG",@progbits,DW.ref.__gxx_personality_v0,comdat [all …]
|
/dports/emulators/z80pack/z80pack-1.37/imsaisim/ |
H A D | life.asm | 281 DW ON 283 DW OFF 285 DW THRU 287 DW BACK 289 DW FWD 297 DW UP 342 ROWA: DW 0 343 ROWB: DW 0 356 DW 0 357 INST: DW 0 [all …]
|
/dports/emulators/z80pack/z80pack-1.37/cromemcosim/ |
H A D | life.asm | 281 DW ON 283 DW OFF 285 DW THRU 287 DW BACK 289 DW FWD 297 DW UP 342 ROWA: DW 0 343 ROWB: DW 0 356 DW 0 357 INST: DW 0 [all …]
|
/dports/emulators/z80pack/z80pack-1.37/altairsim/ |
H A D | life.asm | 281 DW ON 283 DW OFF 285 DW THRU 287 DW BACK 289 DW FWD 297 DW UP 342 ROWA: DW 0 343 ROWB: DW 0 356 DW 0 357 INST: DW 0 [all …]
|
/dports/emulators/hercules/hercules-3.13/ |
H A D | ecpsvm.h | 151 DW DMKSVCHI; /* DMKFREHI */ 165 DW TMRCC; /* SCKC EP */ 166 DW TMR; /* Timer ops base */ 167 DW TMRSP; /* SPT EP */ 168 DW VATAT; /* ARCHITECT */ 170 DW PRVVS; /* VSIVS COUNT */ 171 DW PRVVL; /* LPSW Count */ 172 DW PRVVM; /* SSM/STxSM COUNT */ 173 DW PRVVC; /* SCKC COUNT */ 174 DW RESERVED; [all …]
|
/dports/science/py-ase/ase-3.22.0/ase/io/ |
H A D | prismatic.py | 80 def _get_debye_waller_factors(self, DW): argument 81 if np.isscalar(DW): 87 DW = np.ones_like(self.atoms.numbers) * DW 88 elif isinstance(DW, dict): 89 verify_dictionary(self.atoms, DW, 'DW') 91 DW = {symbols2numbers(k)[0]: v for k, v in DW.items()} 92 DW = np.vectorize(DW.get)(self.atoms.numbers) 96 DW = self.atoms.get_array(name) 98 if DW is None: 105 return DW
|
/dports/finance/R-cran-plm/plm/inst/tests/ |
H A D | test_pbnftest.Rout.save | 46 a.DW b.DW c.DW d.DW e.DW f.DW g.DW h.DW 48 i.DW j.DW k.DW l.DW m.DW n.DW o.DW p.DW 50 q.DW 69 DW = 0.70579
|
/dports/devel/llvm-cheri/llvm-project-37c49ff00e3eadce5d8703fdc4497f28458c64a8/llvm/test/CodeGen/Mips/ |
H A D | ehframe-indirect.ll | 59 ; O32: $_ZTISt9exception.DW.stub: 60 ; N32: .L_ZTISt9exception.DW.stub: 61 ; N64: .L_ZTISt9exception.DW.stub: 65 ; ALL: .hidden DW.ref.__gxx_personality_v0 66 ; ALL: .weak DW.ref.__gxx_personality_v0 67 ; ALL: .section .data.DW.ref.__gxx_personality_v0,"aGw",@progbits,DW.ref.__gxx_personality_v0,comdat 71 ; ALL: .type DW.ref.__gxx_personality_v0,@object 72 ; O32: .size DW.ref.__gxx_personality_v0, 4 73 ; N32: .size DW.ref.__gxx_personality_v0, 4 74 ; N64: .size DW.ref.__gxx_personality_v0, 8 [all …]
|