Home
last modified time | relevance | path

Searched refs:Fl_addmulmul_pre (Results 1 – 7 of 7) sorted by relevance

/dports/math/pari/pari-2.13.3/src/kernel/none/
H A Dlevel1.h1043 Fl_addmulmul_pre(ulong x0, ulong y0, ulong x1, ulong y1, ulong p, ulong pi) in Fl_addmulmul_pre() function
/dports/math/pari/pari-2.13.3/
H A DCHANGES-2.8534 BA 113- [libpari] Fl_addmul_pre, Fl_addmulmul_pre
/dports/math/pari/pari-2.13.3/src/basemath/
H A Dpolmodular.c814 return Fl_addmulmul_pre(x0,y1,y0,x1,p,pi); in Fl_addmul2()
/dports/math/py-cypari2/cypari2-2.1.2/venv/lib/python3.7/site-packages/cypari2/
H A Dparidecl.pxd4295 ulong Fl_addmulmul_pre(ulong x0, ulong y0, ulong x1, ulong y1, ulong p, ulong pi)
/dports/math/py-cypari2/cypari2-2.1.2/cypari2/
H A Dparidecl.pxd4455 ulong Fl_addmulmul_pre(ulong x0, ulong y0, ulong x1, ulong y1, ulong p, ulong pi)
/dports/math/pari/pari-2.13.3/src/headers/
H A Dparidecl.h5355 INLINE ulong Fl_addmulmul_pre(ulong x0, ulong y0, ulong x1, ulong y1, ulong p, ulong pi);
/dports/math/pari/pari-2.13.3/doc/
H A Dusersch5.tex2175 \fun{ulong}{Fl_addmulmul_pre}{ulong a,ulong b, ulong c,ulong d, ulong p, ulong pi}