Searched refs:GPSDO_PWR_ENA (Results 1 – 3 of 3) sorted by relevance
208 output GPSDO_PWR_ENA, output TCXO_ENA, port1351 .clock_misc_opt({GPSDO_PWR_ENA, TCXO_ENA}),
570 set_property PACKAGE_PIN E11 [get_ports GPSDO_PWR_ENA]571 set_property IOSTANDARD LVCMOS33 [get_ports GPSDO_PWR_ENA]
603 set_false_path -to [get_ports GPSDO_PWR_ENA]