Home
last modified time | relevance | path

Searched refs:HHI_VID_CLK_DIV (Results 1 – 25 of 265) sorted by relevance

1234567891011

/dports/sysutils/u-boot-olinuxino-lime/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c289 hhi_update_bits(HHI_VID_CLK_DIV, in meson_venci_cvbs_clock_config()
773 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
840 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
844 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
854 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
859 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
870 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
875 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
886 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
891 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-olinuxino-lime2/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c289 hhi_update_bits(HHI_VID_CLK_DIV, in meson_venci_cvbs_clock_config()
773 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
840 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
844 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
854 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
859 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
870 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
875 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
886 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
891 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-wandboard/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c289 hhi_update_bits(HHI_VID_CLK_DIV, in meson_venci_cvbs_clock_config()
773 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
840 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
844 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
854 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
859 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
870 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
875 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
886 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
891 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-chip/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c289 hhi_update_bits(HHI_VID_CLK_DIV, in meson_venci_cvbs_clock_config()
773 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
840 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
844 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
854 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
859 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
870 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
875 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
886 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
891 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-cubieboard2/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c289 hhi_update_bits(HHI_VID_CLK_DIV, in meson_venci_cvbs_clock_config()
773 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
840 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
844 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
854 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
859 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
870 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
875 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
886 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
891 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-firefly-rk3399/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c289 hhi_update_bits(HHI_VID_CLK_DIV, in meson_venci_cvbs_clock_config()
773 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
840 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
844 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
854 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
859 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
870 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
875 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
886 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
891 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-cubox-hummingboard/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c289 hhi_update_bits(HHI_VID_CLK_DIV, in meson_venci_cvbs_clock_config()
773 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
840 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
844 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
854 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
859 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
870 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
875 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
886 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
891 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-a13-olinuxino/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c289 hhi_update_bits(HHI_VID_CLK_DIV, in meson_venci_cvbs_clock_config()
773 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
840 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
844 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
854 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
859 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
870 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
875 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
886 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
891 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-sinovoip-bpi-m3/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c289 hhi_update_bits(HHI_VID_CLK_DIV, in meson_venci_cvbs_clock_config()
773 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
840 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
844 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
854 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
859 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
870 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
875 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
886 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
891 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-a64-olinuxino/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c289 hhi_update_bits(HHI_VID_CLK_DIV, in meson_venci_cvbs_clock_config()
773 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
840 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
844 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
854 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
859 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
870 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
875 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
886 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
891 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-sopine/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c289 hhi_update_bits(HHI_VID_CLK_DIV, in meson_venci_cvbs_clock_config()
773 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
840 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
844 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
854 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
859 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
870 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
875 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
886 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
891 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-rpi/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c289 hhi_update_bits(HHI_VID_CLK_DIV, in meson_venci_cvbs_clock_config()
773 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
840 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
844 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
854 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
859 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
870 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
875 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
886 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
891 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-qemu-arm64/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c289 hhi_update_bits(HHI_VID_CLK_DIV, in meson_venci_cvbs_clock_config()
773 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
840 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
844 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
854 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
859 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
870 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
875 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
886 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
891 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-nanopi-neo2/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c289 hhi_update_bits(HHI_VID_CLK_DIV, in meson_venci_cvbs_clock_config()
773 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
840 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
844 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
854 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
859 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
870 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
875 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
886 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
891 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-olimex-a20-som-evb/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c289 hhi_update_bits(HHI_VID_CLK_DIV, in meson_venci_cvbs_clock_config()
773 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
840 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
844 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
854 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
859 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
870 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
875 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
886 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
891 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-nanopi-m1plus/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c289 hhi_update_bits(HHI_VID_CLK_DIV, in meson_venci_cvbs_clock_config()
773 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
840 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
844 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
854 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
859 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
870 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
875 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
886 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
891 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-nanopi-r4s/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c289 hhi_update_bits(HHI_VID_CLK_DIV, in meson_venci_cvbs_clock_config()
773 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
840 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
844 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
854 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
859 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
870 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
875 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
886 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
891 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-clearfog/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c289 hhi_update_bits(HHI_VID_CLK_DIV, in meson_venci_cvbs_clock_config()
773 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
840 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
844 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
854 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
859 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
870 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
875 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
886 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
891 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-cubieboard/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c289 hhi_update_bits(HHI_VID_CLK_DIV, in meson_venci_cvbs_clock_config()
773 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
840 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
844 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
854 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
859 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
870 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
875 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
886 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
891 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-orangepi-zero-plus/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c289 hhi_update_bits(HHI_VID_CLK_DIV, in meson_venci_cvbs_clock_config()
773 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
840 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
844 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
854 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
859 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
870 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
875 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
886 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
891 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-orangepi-r1/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c289 hhi_update_bits(HHI_VID_CLK_DIV, in meson_venci_cvbs_clock_config()
773 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
840 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
844 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
854 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
859 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
870 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
875 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
886 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
891 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-orangepi-zero/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c289 hhi_update_bits(HHI_VID_CLK_DIV, in meson_venci_cvbs_clock_config()
773 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
840 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
844 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
854 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
859 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
870 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
875 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
886 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
891 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-pandaboard/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c289 hhi_update_bits(HHI_VID_CLK_DIV, in meson_venci_cvbs_clock_config()
773 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
840 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
844 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
854 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
859 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
870 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
875 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
886 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
891 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-pine64/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c289 hhi_update_bits(HHI_VID_CLK_DIV, in meson_venci_cvbs_clock_config()
773 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
840 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
844 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
854 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
859 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
870 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
875 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
886 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
891 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
[all …]
/dports/sysutils/u-boot-pine-h64/u-boot-2021.07/drivers/video/meson/
H A Dmeson_vclk.c289 hhi_update_bits(HHI_VID_CLK_DIV, in meson_venci_cvbs_clock_config()
773 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
840 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
844 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
854 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
859 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
870 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
875 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
886 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
891 hhi_update_bits(HHI_VID_CLK_DIV, in meson_vclk_set()
[all …]

1234567891011