Home
last modified time | relevance | path

Searched refs:IGNORE_CASE (Results 1 – 25 of 293) sorted by relevance

12345678910>>...12

/dports/lang/ruby26/ruby-2.6.9/test/ruby/
H A Dtest_env.rb42 if IGNORE_CASE
50 if IGNORE_CASE
91 if IGNORE_CASE
99 if IGNORE_CASE
260 if IGNORE_CASE
275 if IGNORE_CASE
309 if IGNORE_CASE
323 if IGNORE_CASE
359 if IGNORE_CASE
385 if IGNORE_CASE
[all …]
/dports/editors/libreoffice/libreoffice-7.2.6.2/svx/source/form/
H A Dfmsrccfg.cxx53 | TransliterationFlags::IGNORE_CASE; in FmSearchParams()
63 return !(nTransliterationFlags & TransliterationFlags::IGNORE_CASE); in isCaseSensitive()
69 nTransliterationFlags &= ~TransliterationFlags::IGNORE_CASE; in setCaseSensitive()
71 nTransliterationFlags |= TransliterationFlags::IGNORE_CASE; in setCaseSensitive()
212 …if ( !m_bIsMatchCase ) nTransliterationFlags |= TransliterationFlags::IGNORE_CASE; in implTranslateFromConfig()
244 … m_bIsMatchCase = !( nTransliterationFlags & TransliterationFlags::IGNORE_CASE ); in implTranslateToConfig()
/dports/editors/libreoffice6/libreoffice-6.4.7.2/svx/source/form/
H A Dfmsrccfg.cxx54 | TransliterationFlags::IGNORE_CASE; in FmSearchParams()
64 return !(nTransliterationFlags & TransliterationFlags::IGNORE_CASE); in isCaseSensitive()
70 nTransliterationFlags &= ~TransliterationFlags::IGNORE_CASE; in setCaseSensitive()
72 nTransliterationFlags |= TransliterationFlags::IGNORE_CASE; in setCaseSensitive()
209 …if ( !m_bIsMatchCase ) nTransliterationFlags |= TransliterationFlags::IGNORE_CASE; in implTranslateFromConfig()
241 … m_bIsMatchCase = !( nTransliterationFlags & TransliterationFlags::IGNORE_CASE ); in implTranslateToConfig()
/dports/devel/global/global-6.6.7/libutil/
H A Dtest.c144 if (!locatestring(path, ".exe", MATCH_AT_LAST|IGNORE_CASE) && in test()
145 !locatestring(path, ".com", MATCH_AT_LAST|IGNORE_CASE) && in test()
146 !locatestring(path, ".bat", MATCH_AT_LAST|IGNORE_CASE)) in test()
H A Dlocatestring.c123 cmpfunc = (flag & IGNORE_CASE) ? strincmp : strncmp; in locatestring()
124 flag &= ~IGNORE_CASE; in locatestring()
/dports/lang/cocor/cocor-1.7_3/sources/
H A Dcrs.c91 #define IGNORE_CASE 0 macro
97 #if IGNORE_CASE
124 #if IGNORE_CASE in EqualStr()
135 #if IGNORE_CASE in CheckLiteral()
374 #if IGNORE_CASE in S_GetName()
/dports/audio/p5-MP3-Find/MP3-Find-0.07/bin/
H A Dmp3find11 'ignore-case|i' => \my $IGNORE_CASE,
23 ignore_case => $IGNORE_CASE,
/dports/lang/cocor/cocor-1.7_3/frames/
H A Dscan_c.frm83 #define IGNORE_CASE -->IgnoreCase
89 #if IGNORE_CASE
116 #if IGNORE_CASE
127 #if IGNORE_CASE
176 #if IGNORE_CASE
/dports/textproc/xerces-c3/xerces-c-3.2.3/src/xercesc/util/regx/
H A DToken.cpp245 if (ch < 0x1000 && isSet(options,RegularExpression::IGNORE_CASE)) { in analyzeFirstCharacter()
252 if (isSet(options, RegularExpression::IGNORE_CASE)) { in analyzeFirstCharacter()
263 if (isSet(options, RegularExpression::IGNORE_CASE)) { in analyzeFirstCharacter()
292 if (ch<0x10000 && isSet(options,RegularExpression::IGNORE_CASE)) { in analyzeFirstCharacter()
/dports/biology/py-python-libsbml/python-libsbml-5.19.0/libsbml_dependencies/xerces-c/src/xercesc/util/regx/
H A DToken.cpp245 if (ch < 0x1000 && isSet(options,RegularExpression::IGNORE_CASE)) { in analyzeFirstCharacter()
252 if (isSet(options, RegularExpression::IGNORE_CASE)) { in analyzeFirstCharacter()
263 if (isSet(options, RegularExpression::IGNORE_CASE)) { in analyzeFirstCharacter()
292 if (ch<0x10000 && isSet(options,RegularExpression::IGNORE_CASE)) { in analyzeFirstCharacter()
/dports/deskutils/docear/docear-1.2.0.0_stable_build291/resources/templates/
H A DconceptMap.mm10 … <node_level_condition VALUE="2" IGNORE_CASE="true" COMPARATION_RESULT="0" SUCCEED="true"/>
13 … <node_level_condition VALUE="4" IGNORE_CASE="true" COMPARATION_RESULT="0" SUCCEED="true"/>
16 … <node_level_condition VALUE="6" IGNORE_CASE="true" COMPARATION_RESULT="0" SUCCEED="true"/>
/dports/deskutils/freeplane/freeplane-1.9.12/resources/templates/
H A DconceptMap.mm11 … <node_level_condition VALUE="2" IGNORE_CASE="true" COMPARATION_RESULT="0" SUCCEED="true"/>
14 … <node_level_condition VALUE="4" IGNORE_CASE="true" COMPARATION_RESULT="0" SUCCEED="true"/>
17 … <node_level_condition VALUE="6" IGNORE_CASE="true" COMPARATION_RESULT="0" SUCCEED="true"/>
/dports/databases/fastdb/fastdb/src/
H A Dsymtab.cpp33 #ifdef IGNORE_CASE
44 #ifdef IGNORE_CASE in add()
/dports/misc/broot/broot-1.7.0/cargo-crates/libgit2-sys-0.12.21+1.1.0/libgit2/src/
H A Dutil.h55 #define STRCMP_CASESELECT(IGNORE_CASE, STR1, STR2) \ argument
56 ((IGNORE_CASE) ? strcasecmp((STR1), (STR2)) : strcmp((STR1), (STR2)))
58 #define CASESELECT(IGNORE_CASE, ICASE, CASE) \ argument
59 ((IGNORE_CASE) ? (ICASE) : (CASE))
/dports/devel/cargo-c/cargo-c-0.9.6+cargo-0.58/cargo-crates/libgit2-sys-0.12.26+1.3.0/libgit2/src/
H A Dutil.h55 #define STRCMP_CASESELECT(IGNORE_CASE, STR1, STR2) \ argument
56 ((IGNORE_CASE) ? strcasecmp((STR1), (STR2)) : strcmp((STR1), (STR2)))
58 #define CASESELECT(IGNORE_CASE, ICASE, CASE) \ argument
59 ((IGNORE_CASE) ? (ICASE) : (CASE))
/dports/devel/sentry-cli/sentry-cli-1.71.0/cargo-crates/libgit2-sys-0.12.23+1.2.0/libgit2/src/
H A Dutil.h55 #define STRCMP_CASESELECT(IGNORE_CASE, STR1, STR2) \ argument
56 ((IGNORE_CASE) ? strcasecmp((STR1), (STR2)) : strcmp((STR1), (STR2)))
58 #define CASESELECT(IGNORE_CASE, ICASE, CASE) \ argument
59 ((IGNORE_CASE) ? (ICASE) : (CASE))
/dports/devel/git-absorb/git-absorb-0.6.6/cargo-crates/libgit2-sys-0.12.13+1.0.1/libgit2/src/
H A Dutil.h55 #define STRCMP_CASESELECT(IGNORE_CASE, STR1, STR2) \ argument
56 ((IGNORE_CASE) ? strcasecmp((STR1), (STR2)) : strcmp((STR1), (STR2)))
58 #define CASESELECT(IGNORE_CASE, ICASE, CASE) \ argument
59 ((IGNORE_CASE) ? (ICASE) : (CASE))
/dports/devel/R-cran-git2r/git2r/src/libgit2/src/
H A Dutil.h44 #define STRCMP_CASESELECT(IGNORE_CASE, STR1, STR2) \ argument
45 ((IGNORE_CASE) ? strcasecmp((STR1), (STR2)) : strcmp((STR1), (STR2)))
47 #define CASESELECT(IGNORE_CASE, ICASE, CASE) \ argument
48 ((IGNORE_CASE) ? (ICASE) : (CASE))
/dports/devel/git-delta/delta-0.9.2/cargo-crates/libgit2-sys-0.12.24+1.3.0/libgit2/src/
H A Dutil.h55 #define STRCMP_CASESELECT(IGNORE_CASE, STR1, STR2) \ argument
56 ((IGNORE_CASE) ? strcasecmp((STR1), (STR2)) : strcmp((STR1), (STR2)))
58 #define CASESELECT(IGNORE_CASE, ICASE, CASE) \ argument
59 ((IGNORE_CASE) ? (ICASE) : (CASE))
/dports/sysutils/vector/vector-0.10.0/cargo-crates/libgit2-sys-0.12.7+1.0.0/libgit2/src/
H A Dutil.h55 #define STRCMP_CASESELECT(IGNORE_CASE, STR1, STR2) \ argument
56 ((IGNORE_CASE) ? strcasecmp((STR1), (STR2)) : strcmp((STR1), (STR2)))
58 #define CASESELECT(IGNORE_CASE, ICASE, CASE) \ argument
59 ((IGNORE_CASE) ? (ICASE) : (CASE))
/dports/security/cargo-audit/rustsec-cargo-audit-v0.15.2/cargo-audit/cargo-crates/libgit2-sys-0.12.23+1.2.0/libgit2/src/
H A Dutil.h55 #define STRCMP_CASESELECT(IGNORE_CASE, STR1, STR2) \ argument
56 ((IGNORE_CASE) ? strcasecmp((STR1), (STR2)) : strcmp((STR1), (STR2)))
58 #define CASESELECT(IGNORE_CASE, ICASE, CASE) \ argument
59 ((IGNORE_CASE) ? (ICASE) : (CASE))
/dports/devel/tokei/tokei-12.1.2/cargo-crates/libgit2-sys-0.12.17+1.1.0/libgit2/src/
H A Dutil.h55 #define STRCMP_CASESELECT(IGNORE_CASE, STR1, STR2) \ argument
56 ((IGNORE_CASE) ? strcasecmp((STR1), (STR2)) : strcmp((STR1), (STR2)))
58 #define CASESELECT(IGNORE_CASE, ICASE, CASE) \ argument
59 ((IGNORE_CASE) ? (ICASE) : (CASE))
/dports/devel/libgit2/libgit2-1.3.0/src/
H A Dutil.h55 #define STRCMP_CASESELECT(IGNORE_CASE, STR1, STR2) \ argument
56 ((IGNORE_CASE) ? strcasecmp((STR1), (STR2)) : strcmp((STR1), (STR2)))
58 #define CASESELECT(IGNORE_CASE, ICASE, CASE) \ argument
59 ((IGNORE_CASE) ? (ICASE) : (CASE))
/dports/security/cargo-audit/rustsec-cargo-audit-v0.15.2/cargo-audit/cargo-crates/libgit2-sys-0.12.19+1.1.0/libgit2/src/
H A Dutil.h55 #define STRCMP_CASESELECT(IGNORE_CASE, STR1, STR2) \ argument
56 ((IGNORE_CASE) ? strcasecmp((STR1), (STR2)) : strcmp((STR1), (STR2)))
58 #define CASESELECT(IGNORE_CASE, ICASE, CASE) \ argument
59 ((IGNORE_CASE) ? (ICASE) : (CASE))
/dports/devel/gitui/gitui-0.10.1/cargo-crates/libgit2-sys-0.12.12+1.0.1/libgit2/src/
H A Dutil.h55 #define STRCMP_CASESELECT(IGNORE_CASE, STR1, STR2) \ argument
56 ((IGNORE_CASE) ? strcasecmp((STR1), (STR2)) : strcmp((STR1), (STR2)))
58 #define CASESELECT(IGNORE_CASE, ICASE, CASE) \ argument
59 ((IGNORE_CASE) ? (ICASE) : (CASE))

12345678910>>...12