Searched refs:PPS_EXT_IN (Results 1 – 5 of 5) sorted by relevance
49 input PPS_EXT_IN, port140 wire pps_ext = PPS_EXT_IN;
125 input PPS_EXT_IN, port321 wire pps_ext = PPS_EXT_IN;
486 set_property PACKAGE_PIN D18 [get_ports PPS_EXT_IN]487 set_property IOSTANDARD LVCMOS33 [get_ports PPS_EXT_IN]
14 create_clock -period 100.000 -name pps_ext [get_nets PPS_EXT_IN]