Home
last modified time | relevance | path

Searched refs:PPS_EXT_IN (Results 1 – 5 of 5) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/e31x/
H A De31x_idle.v49 input PPS_EXT_IN, port
140 wire pps_ext = PPS_EXT_IN;
H A De31x.v125 input PPS_EXT_IN, port
321 wire pps_ext = PPS_EXT_IN;
H A De31x_idle_pins.xdc486 set_property PACKAGE_PIN D18 [get_ports PPS_EXT_IN]
487 set_property IOSTANDARD LVCMOS33 [get_ports PPS_EXT_IN]
H A De31x_pins.xdc486 set_property PACKAGE_PIN D18 [get_ports PPS_EXT_IN]
487 set_property IOSTANDARD LVCMOS33 [get_ports PPS_EXT_IN]
H A De31x_timing.xdc14 create_clock -period 100.000 -name pps_ext [get_nets PPS_EXT_IN]