Home
last modified time | relevance | path

Searched refs:PULLUP (Results 1 – 25 of 104) sorted by relevance

12345

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/b200/
H A Dgpio.ucf4 NET "fp_gpio<0>" LOC = "F8" | IOSTANDARD = LVCMOS33 | PULLUP ;
5 NET "fp_gpio<1>" LOC = "E8" | IOSTANDARD = LVCMOS33 | PULLUP ;
6 NET "fp_gpio<2>" LOC = "F9" | IOSTANDARD = LVCMOS33 | PULLUP ;
7 NET "fp_gpio<3>" LOC = "G8" | IOSTANDARD = LVCMOS33 | PULLUP ;
8 NET "fp_gpio<4>" LOC = "H10" | IOSTANDARD = LVCMOS33 | PULLUP ;
9 NET "fp_gpio<5>" LOC = "G9" | IOSTANDARD = LVCMOS33 | PULLUP ;
10 NET "fp_gpio<6>" LOC = "F10" | IOSTANDARD = LVCMOS33 | PULLUP ;
11 NET "fp_gpio<7>" LOC = "E10" | IOSTANDARD = LVCMOS33 | PULLUP ;
H A Db200.ucf19 NET "FPGA_RXD0" LOC = "AB8" | IOSTANDARD = LVCMOS18 | PULLUP ;
20 NET "FPGA_TXD0" LOC = "AB7" | IOSTANDARD = LVCMOS18 | PULLUP ;
189 NET "gps_txd" LOC = "A14" | IOSTANDARD = LVCMOS33 | PULLUP;
190 NET "gps_txd_nmea" LOC = "C15" | IOSTANDARD = LVCMOS33 | PULLUP ;
/dports/devel/icestorm/icestorm-710470f9/icefuzz/tests/
H A Dsb_io_i3c.v13 .PULLUP(1'b1),
26 .PULLUP(1'b1),
H A Dsb_io_negclk.v6 .PULLUP(1'b0),
24 .PULLUP(1'b0),
H A Dsb_i2c_io.v47 .PULLUP(1'b1)
58 .PULLUP(1'b1)
H A Dicegate.v9 .PULLUP(1'b 0),
H A Dio_latched.v8 .PULLUP(1'b0),
H A Dsb_gb_io.v16 .PULLUP(1'b0),
H A Dsb_io.v49 .PULLUP(1'b0),
H A Dio_glb_netwk.v11 .PULLUP(1'b0),
/dports/multimedia/mpv/waf-2.0.20/playground/xilinx-ise/src/
H A Drs1.ucf6 NET "BUTTON_1" LOC = "AA3" | IOSTANDARD = LVTTL | PULLUP ;
7 NET "BUTTON_2" LOC = "Y4" | IOSTANDARD = LVTTL | PULLUP ;
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/b2xxmini/
H A Db205.ucf65 NET "CAT_SPI_DO" LOC = "U4" | IOSTANDARD = LVCMOS18 | PULLUP; # Transceiver Controller SPI Data Out
150 NET "fp_gpio<0>" LOC = "A18" | IOSTANDARD = LVCMOS33 | PULLUP;
151 NET "fp_gpio<1>" LOC = "A17" | IOSTANDARD = LVCMOS33 | PULLUP;
152 NET "fp_gpio<2>" LOC = "B16" | IOSTANDARD = LVCMOS33 | PULLUP;
153 NET "fp_gpio<3>" LOC = "B18" | IOSTANDARD = LVCMOS33 | PULLUP;
154 NET "fp_gpio<4>" LOC = "C15" | IOSTANDARD = LVCMOS33 | PULLUP;
155 NET "fp_gpio<5>" LOC = "A15" | IOSTANDARD = LVCMOS33 | PULLUP;
156 NET "fp_gpio<6>" LOC = "A16" | IOSTANDARD = LVCMOS33 | PULLUP;
157 NET "fp_gpio<7>" LOC = "A13" | IOSTANDARD = LVCMOS33 | PULLUP;
/dports/cad/ngspice_rework/ngspice-35/examples/cider/parallel/
H A Dbicmpu.cir1 BICMOS INVERTER PULLUP CIRCUIT
/dports/devel/nextpnr/nextpnr-48cd407/ice40/benchmark/
H A Dhx8kdemo.v59 .PULLUP(1'b 0)
/dports/devel/asl/asl-current/include/
H A Dreghc08q.inc95 PTAPUE EQU $000B ;PORT A INPUT PULLUP ENABLE REGISTER
105 PTBPUE EQU $000C ;PORT B INPUT PULLUP ENABLE REGISTER
/dports/devel/arachne-pnr/arachne-pnr-840bdfdeb38809f9f6af4d89dd7b22959b176fdd/fuzz/blif/
H A Dblif.dict75 param_pullup=" PULLUP"
/dports/comms/hackrf-devel/hackrf-ee44d2d62e2dd24648599172f90fc8fc2e3b3cc3/firmware/cpld/sgpio_debug/
H A Dtop.ucf65 NET "HOST_SYNC" LOC = "P55" | PULLUP ;
/dports/comms/hackrf-devel/hackrf-ee44d2d62e2dd24648599172f90fc8fc2e3b3cc3/firmware/cpld/sgpio_if/
H A Dtop.ucf65 NET "HOST_SYNC" LOC = "P55" | PULLUP ;
/dports/cad/ngspice_rework/ngspice-35/examples/cider/serial/
H A Dpullup.cir1 BICMOS INVERTER PULLUP CIRCUIT
/dports/cad/tkgate/tkgate-2.1/src/verga/
H A Dluthor.l106 {"pullup",PULLUP},
/dports/cad/iverilog/verilog-11.0/
H A DPGate.cc256 case PULLUP: in gate_name()
H A DPGate.h147 NOT, NOTIF0, NOTIF1, PULLDOWN, PULLUP, NMOS, RNMOS, enumerator
/dports/devel/doxygen/doxygen-1.9.3/src/
H A Dvhdlcode.l202 …"OPT_EFFORT"|"OPTIMIZE"|"PERIOD"|"PIN"|"PRIORITY"|"PROHIBIT"|"PULLDOWN"|"PULLUP"|"PWR_MODE"|"REG"|…
/dports/cad/yosys/yosys-yosys-0.12/techlibs/gatemate/
H A Dcells_sim.v25 parameter [0:0] PULLUP = 1'bx, constant
65 parameter [0:0] PULLUP = 1'bx, constant
86 parameter [0:0] PULLUP = 1'bx, constant
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/e320/
H A Dmb_pins.xdc163 set_property PULLUP TRUE [get_ports {XCVR_CTRL_OUT[6]}]
164 set_property PULLUP TRUE [get_ports {XCVR_CTRL_OUT[7]}]
393 set_property PULLUP TRUE [get_ports {CLK_MUX_OUT}]

12345