Home
last modified time | relevance | path

Searched refs:REGSET (Results 1 – 25 of 131) sorted by relevance

123456

/dports/math/fricas/fricas-1.3.7/pre-generated/src/algebra/
H A DREGSET.lsp2 (PUT '|REGSET;rep| '|SPADreplace| '(XLAM (|s|) |s|))
4 (SDEFUN |REGSET;rep| ((|s| $) ($ |List| P)) |s|)
8 (SDEFUN |REGSET;per| ((|l| |List| P) ($ $)) |l|)
10 (SDEFUN |REGSET;copy;2$;3| ((|ts| $) ($ $))
16 (NULL (|REGSET;rep| |ts| $)))
349 (SDEFUN |REGSET;invertible?;P$L;29|
369 (SDEFUN |REGSET;intersect;L2L;34|
376 (SDEFUN |REGSET;zeroSetSplit;LBL;36|
380 (SDEFUN |REGSET;zeroSetSplit;L2BL;37|
384 (SDEFUN |REGSET;zeroSetSplit;L4BL;38|
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/ecp5/
H A Dcells_map.v4 …TRELLIS_FF #(.GSR("AUTO"), .CEMUX("1"), .CLKMUX("INV"), .LSRMUX("LSR"), .REGSET("SET")) _TECHMAP_…
6 …TRELLIS_FF #(.GSR("AUTO"), .CEMUX("1"), .CLKMUX("INV"), .LSRMUX("LSR"), .REGSET("RESET")) _TECHMA…
14 …TRELLIS_FF #(.GSR("AUTO"), .CEMUX("1"), .CLKMUX("CLK"), .LSRMUX("LSR"), .REGSET("SET")) _TECHMAP_…
16 …TRELLIS_FF #(.GSR("AUTO"), .CEMUX("1"), .CLKMUX("CLK"), .LSRMUX("LSR"), .REGSET("RESET")) _TECHMA…
24 …TRELLIS_FF #(.GSR("AUTO"), .CEMUX("INV"), .CLKMUX("INV"), .LSRMUX("LSR"), .REGSET("SET")) _TECHMA…
26 …TRELLIS_FF #(.GSR("AUTO"), .CEMUX("INV"), .CLKMUX("INV"), .LSRMUX("LSR"), .REGSET("RESET")) _TECH…
34 …TRELLIS_FF #(.GSR("AUTO"), .CEMUX("INV"), .CLKMUX("CLK"), .LSRMUX("LSR"), .REGSET("SET")) _TECHMA…
36 …TRELLIS_FF #(.GSR("AUTO"), .CEMUX("INV"), .CLKMUX("CLK"), .LSRMUX("LSR"), .REGSET("RESET")) _TECH…
44 …TRELLIS_FF #(.GSR("AUTO"), .CEMUX("CE"), .CLKMUX("INV"), .LSRMUX("LSR"), .REGSET("SET")) _TECHMAP…
46 …TRELLIS_FF #(.GSR("AUTO"), .CEMUX("CE"), .CLKMUX("INV"), .LSRMUX("LSR"), .REGSET("RESET")) _TECHM…
[all …]
H A Dcells_ff.vh2 …; TRELLIS_FF #(.GSR(GSR), .CEMUX("CE"), .CLKMUX("CLK"), .LSRMUX("LSR"), .REGSET("RESET"), .SRMODE(…
3 …; TRELLIS_FF #(.GSR(GSR), .CEMUX("CE"), .CLKMUX("CLK"), .LSRMUX("LSR"), .REGSET("SET"), .SRMODE(…
4 …; TRELLIS_FF #(.GSR(GSR), .CEMUX("CE"), .CLKMUX("CLK"), .LSRMUX("LSR"), .REGSET("SET"), .SRMODE(…
5 …; TRELLIS_FF #(.GSR(GSR), .CEMUX("CE"), .CLKMUX("CLK"), .LSRMUX("LSR"), .REGSET("RESET"), .SRMODE(…
6 …; TRELLIS_FF #(.GSR(GSR), .CEMUX("CE"), .CLKMUX("CLK"), .LSRMUX("LSR"), .REGSET("RESET"), .SRMODE(…
7 …; TRELLIS_FF #(.GSR(GSR), .CEMUX("CE"), .CLKMUX("CLK"), .LSRMUX("LSR"), .REGSET("SET"), .SRMODE(…
8 …; TRELLIS_FF #(.GSR(GSR), .CEMUX("1"), .CLKMUX("CLK"), .LSRMUX("LSR"), .REGSET("RESET"), .SRMODE(…
9 …; TRELLIS_FF #(.GSR(GSR), .CEMUX("1"), .CLKMUX("CLK"), .LSRMUX("LSR"), .REGSET("SET"), .SRMODE(…
10 …; TRELLIS_FF #(.GSR(GSR), .CEMUX("1"), .CLKMUX("CLK"), .LSRMUX("LSR"), .REGSET("SET"), .SRMODE(…
11 …; TRELLIS_FF #(.GSR(GSR), .CEMUX("1"), .CLKMUX("CLK"), .LSRMUX("LSR"), .REGSET("RESET"), .SRMODE(…
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/anlogic/
H A Dcells_map.v1 module \$_DFFE_PN0P_ (input D, C, R, E, output Q); AL_MAP_SEQ #(.DFFMODE("FF"), .REGSET("RESET"), …
2 module \$_DFFE_PN1P_ (input D, C, R, E, output Q); AL_MAP_SEQ #(.DFFMODE("FF"), .REGSET("SET"), .S…
3 module \$_DFFE_PP0P_ (input D, C, R, E, output Q); AL_MAP_SEQ #(.DFFMODE("FF"), .REGSET("RESET"), …
4 module \$_DFFE_PP1P_ (input D, C, R, E, output Q); AL_MAP_SEQ #(.DFFMODE("FF"), .REGSET("SET"), .S…
6 module \$_SDFFE_PN0P_ (input D, C, R, E, output Q); AL_MAP_SEQ #(.DFFMODE("FF"), .REGSET("RESET"),…
7 module \$_SDFFE_PN1P_ (input D, C, R, E, output Q); AL_MAP_SEQ #(.DFFMODE("FF"), .REGSET("SET"), .…
8 module \$_SDFFE_PP0P_ (input D, C, R, E, output Q); AL_MAP_SEQ #(.DFFMODE("FF"), .REGSET("RESET"),…
9 module \$_SDFFE_PP1P_ (input D, C, R, E, output Q); AL_MAP_SEQ #(.DFFMODE("FF"), .REGSET("SET"), .…
11 module \$_DLATCH_NN0_ (input D, R, E, output Q); AL_MAP_SEQ #(.DFFMODE("LATCH"), .REGSET("RESET"),…
12 module \$_DLATCH_NN1_ (input D, R, E, output Q); AL_MAP_SEQ #(.DFFMODE("LATCH"), .REGSET("SET"), .…
[all …]
/dports/devel/aarch64-none-elf-gcc/gcc-8.4.0/gcc/
H A Dregset.h44 #define FREE_REG_SET(REGSET) BITMAP_FREE (REGSET) argument
95 #define EXECUTE_IF_SET_IN_REG_SET(REGSET, MIN, REGNUM, RSI) \ argument
96 EXECUTE_IF_SET_IN_BITMAP (REGSET, MIN, REGNUM, RSI)
/dports/lang/gcc6-aux/gcc-6-20180516/gcc/
H A Dregset.h44 #define FREE_REG_SET(REGSET) BITMAP_FREE (REGSET) argument
95 #define EXECUTE_IF_SET_IN_REG_SET(REGSET, MIN, REGNUM, RSI) \ argument
96 EXECUTE_IF_SET_IN_BITMAP (REGSET, MIN, REGNUM, RSI)
/dports/lang/gcc9/gcc-9.4.0/gcc/
H A Dregset.h44 #define FREE_REG_SET(REGSET) BITMAP_FREE (REGSET) argument
95 #define EXECUTE_IF_SET_IN_REG_SET(REGSET, MIN, REGNUM, RSI) \ argument
96 EXECUTE_IF_SET_IN_BITMAP (REGSET, MIN, REGNUM, RSI)
/dports/devel/arm-none-eabi-gcc492/gcc-4.9.2/gcc/
H A Dregset.h46 #define FREE_REG_SET(REGSET) BITMAP_FREE (REGSET) argument
97 #define EXECUTE_IF_SET_IN_REG_SET(REGSET, MIN, REGNUM, RSI) \ argument
98 EXECUTE_IF_SET_IN_BITMAP (REGSET, MIN, REGNUM, RSI)
/dports/devel/riscv64-gcc/gcc-8.3.0/gcc/
H A Dregset.h44 #define FREE_REG_SET(REGSET) BITMAP_FREE (REGSET) argument
95 #define EXECUTE_IF_SET_IN_REG_SET(REGSET, MIN, REGNUM, RSI) \ argument
96 EXECUTE_IF_SET_IN_BITMAP (REGSET, MIN, REGNUM, RSI)
/dports/lang/gnat_util/gcc-6-20180516/gcc/
H A Dregset.h44 #define FREE_REG_SET(REGSET) BITMAP_FREE (REGSET) argument
95 #define EXECUTE_IF_SET_IN_REG_SET(REGSET, MIN, REGNUM, RSI) \ argument
96 EXECUTE_IF_SET_IN_BITMAP (REGSET, MIN, REGNUM, RSI)
/dports/lang/gcc48/gcc-4.8.5/gcc/
H A Dregset.h46 #define FREE_REG_SET(REGSET) BITMAP_FREE (REGSET) argument
97 #define EXECUTE_IF_SET_IN_REG_SET(REGSET, MIN, REGNUM, RSI) \ argument
98 EXECUTE_IF_SET_IN_BITMAP (REGSET, MIN, REGNUM, RSI)
/dports/devel/riscv32-unknown-elf-gcc/gcc-8.4.0/gcc/
H A Dregset.h44 #define FREE_REG_SET(REGSET) BITMAP_FREE (REGSET) argument
95 #define EXECUTE_IF_SET_IN_REG_SET(REGSET, MIN, REGNUM, RSI) \ argument
96 EXECUTE_IF_SET_IN_BITMAP (REGSET, MIN, REGNUM, RSI)
/dports/devel/arm-none-eabi-gcc/gcc-8.4.0/gcc/
H A Dregset.h44 #define FREE_REG_SET(REGSET) BITMAP_FREE (REGSET) argument
95 #define EXECUTE_IF_SET_IN_REG_SET(REGSET, MIN, REGNUM, RSI) \ argument
96 EXECUTE_IF_SET_IN_BITMAP (REGSET, MIN, REGNUM, RSI)
/dports/devel/riscv64-none-elf-gcc/gcc-8.4.0/gcc/
H A Dregset.h44 #define FREE_REG_SET(REGSET) BITMAP_FREE (REGSET) argument
95 #define EXECUTE_IF_SET_IN_REG_SET(REGSET, MIN, REGNUM, RSI) \ argument
96 EXECUTE_IF_SET_IN_BITMAP (REGSET, MIN, REGNUM, RSI)
/dports/lang/gcc9-aux/gcc-9.1.0/gcc/
H A Dregset.h44 #define FREE_REG_SET(REGSET) BITMAP_FREE (REGSET) argument
95 #define EXECUTE_IF_SET_IN_REG_SET(REGSET, MIN, REGNUM, RSI) \ argument
96 EXECUTE_IF_SET_IN_BITMAP (REGSET, MIN, REGNUM, RSI)
/dports/lang/gcc9-devel/gcc-9-20211007/gcc/
H A Dregset.h44 #define FREE_REG_SET(REGSET) BITMAP_FREE (REGSET) argument
95 #define EXECUTE_IF_SET_IN_REG_SET(REGSET, MIN, REGNUM, RSI) \ argument
96 EXECUTE_IF_SET_IN_BITMAP (REGSET, MIN, REGNUM, RSI)
/dports/lang/gcc8/gcc-8.5.0/gcc/
H A Dregset.h44 #define FREE_REG_SET(REGSET) BITMAP_FREE (REGSET) argument
95 #define EXECUTE_IF_SET_IN_REG_SET(REGSET, MIN, REGNUM, RSI) \ argument
96 EXECUTE_IF_SET_IN_BITMAP (REGSET, MIN, REGNUM, RSI)
/dports/devel/mingw32-gcc/gcc-4.8.1/gcc/
H A Dregset.h46 #define FREE_REG_SET(REGSET) BITMAP_FREE (REGSET) argument
97 #define EXECUTE_IF_SET_IN_REG_SET(REGSET, MIN, REGNUM, RSI) \ argument
98 EXECUTE_IF_SET_IN_BITMAP (REGSET, MIN, REGNUM, RSI)
/dports/devel/avr-gcc/gcc-10.2.0/gcc/
H A Dregset.h44 #define FREE_REG_SET(REGSET) BITMAP_FREE (REGSET) argument
99 #define EXECUTE_IF_SET_IN_REG_SET(REGSET, MIN, REGNUM, RSI) \ argument
100 EXECUTE_IF_SET_IN_BITMAP (REGSET, MIN, REGNUM, RSI)
/dports/lang/gcc11/gcc-11.2.0/gcc/
H A Dregset.h44 #define FREE_REG_SET(REGSET) BITMAP_FREE (REGSET) argument
99 #define EXECUTE_IF_SET_IN_REG_SET(REGSET, MIN, REGNUM, RSI) \ argument
100 EXECUTE_IF_SET_IN_BITMAP (REGSET, MIN, REGNUM, RSI)
/dports/misc/cxx_atomics_pic/gcc-11.2.0/gcc/
H A Dregset.h44 #define FREE_REG_SET(REGSET) BITMAP_FREE (REGSET) argument
99 #define EXECUTE_IF_SET_IN_REG_SET(REGSET, MIN, REGNUM, RSI) \ argument
100 EXECUTE_IF_SET_IN_BITMAP (REGSET, MIN, REGNUM, RSI)
/dports/lang/gcc10/gcc-10.3.0/gcc/
H A Dregset.h44 #define FREE_REG_SET(REGSET) BITMAP_FREE (REGSET) argument
99 #define EXECUTE_IF_SET_IN_REG_SET(REGSET, MIN, REGNUM, RSI) \ argument
100 EXECUTE_IF_SET_IN_BITMAP (REGSET, MIN, REGNUM, RSI)
/dports/lang/gcc11-devel/gcc-11-20211009/gcc/
H A Dregset.h44 #define FREE_REG_SET(REGSET) BITMAP_FREE (REGSET) argument
99 #define EXECUTE_IF_SET_IN_REG_SET(REGSET, MIN, REGNUM, RSI) \ argument
100 EXECUTE_IF_SET_IN_BITMAP (REGSET, MIN, REGNUM, RSI)
/dports/lang/gcc10-devel/gcc-10-20211008/gcc/
H A Dregset.h44 #define FREE_REG_SET(REGSET) BITMAP_FREE (REGSET) argument
99 #define EXECUTE_IF_SET_IN_REG_SET(REGSET, MIN, REGNUM, RSI) \ argument
100 EXECUTE_IF_SET_IN_BITMAP (REGSET, MIN, REGNUM, RSI)
/dports/lang/gcc12-devel/gcc-12-20211205/gcc/
H A Dregset.h44 #define FREE_REG_SET(REGSET) BITMAP_FREE (REGSET) argument
102 #define EXECUTE_IF_SET_IN_REG_SET(REGSET, MIN, REGNUM, RSI) \ argument
103 EXECUTE_IF_SET_IN_BITMAP (REGSET, MIN, REGNUM, RSI)

123456