Home
last modified time | relevance | path

Searched refs:SFP0_TX_p (Results 1 – 2 of 2) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/x300/
H A Dx300.v52 output SFP0_TX_p, output SFP0_TX_n, port
984 .txp(SFP0_TX_p),
H A Dx300.xdc19 set_property PACKAGE_PIN Y2 [get_ports SFP0_TX_p]