Home
last modified time | relevance | path

Searched refs:SFPP0_RS0 (Results 1 – 4 of 4) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/x300/
H A Dx300_core.v49 inout SFPP0_RS0, port
311 .SFPP0_RS0(SFPP0_RS0), .SFPP0_RS1(SFPP0_RS1),
H A Dx300.v225 output SFPP0_RS0, // These are actually open drain outputs port
1357 .SFPP0_RxLOS(SFPP0_RxLOS), .SFPP0_RS1(SFPP0_RS1), .SFPP0_RS0(SFPP0_RS0),
H A Dbus_int.v45 input SFPP0_ModAbs, input SFPP0_TxFault, input SFPP0_RxLOS, inout SFPP0_RS0, inout SFPP0_RS1, port
597 assign SFPP0_RS0 = sfpp0_ctrl[0] ? 1'b0 : 1'bz;
H A Dx300.xdc597 set_property PACKAGE_PIN W22 [get_ports SFPP0_RS0]
598 set_property IOSTANDARD LVCMOS33 [get_ports SFPP0_RS0]