Home
last modified time | relevance | path

Searched refs:SLDATATYPE (Results 1 – 4 of 4) sorted by relevance

/dports/lang/zig/zig-0.9.0/lib/libc/include/any-windows-any/
H A Dslpublic.h30 } SLDATATYPE; typedef
49 SLDATATYPE *peDataType,
67 SLDATATYPE *peDataType,
92 SLDATATYPE eDataType,
/dports/lang/zig-devel/zig-0.9.0/lib/libc/include/any-windows-any/
H A Dslpublic.h30 } SLDATATYPE; typedef
49 SLDATATYPE *peDataType,
67 SLDATATYPE *peDataType,
92 SLDATATYPE eDataType,
/dports/devel/rust-analyzer/rust-analyzer-2021-12-20/cargo-crates/windows-sys-0.28.0/src/Windows/Win32/Security/Authentication/Identity/
H A Dmod.rs274 …wszvaluename: super::super::super::Foundation::PWSTR, pedatatype: *mut SLDATATYPE, pcbvalue: *mut … in SLGetPolicyInformation() argument
285 …wszvaluename: super::super::super::Foundation::PWSTR, pedatatype: *mut SLDATATYPE, pcbvalue: *mut … in SLGetServiceInformation() argument
287 …wszvaluename: super::super::super::Foundation::PWSTR, pedatatype: *mut SLDATATYPE, pcbvalue: *mut … in SLGetWindowsInformation() argument
301 …UID, pwszvaluename: super::super::super::Foundation::PWSTR, edatatype: SLDATATYPE, cbvalue: u32, p… in SLSetGenuineInformation() argument
5715 pub type SLDATATYPE = u32; typedef
5716 pub const SL_DATA_NONE: SLDATATYPE = 0u32;
5717 pub const SL_DATA_SZ: SLDATATYPE = 1u32;
5718 pub const SL_DATA_DWORD: SLDATATYPE = 4u32;
5719 pub const SL_DATA_BINARY: SLDATATYPE = 3u32;
5720 pub const SL_DATA_MULTI_SZ: SLDATATYPE = 7u32;
[all …]
/dports/audio/ncspot/ncspot-0.9.3/cargo-crates/windows-0.24.0/src/Windows/Win32/Security/Authentication/Identity/
H A Dmod.rs13163 pub struct SLDATATYPE(pub u32);
13164 pub const SL_DATA_NONE: SLDATATYPE = SLDATATYPE(0u32);
13165 pub const SL_DATA_SZ: SLDATATYPE = SLDATATYPE(1u32);
13166 pub const SL_DATA_DWORD: SLDATATYPE = SLDATATYPE(4u32);
13167 pub const SL_DATA_BINARY: SLDATATYPE = SLDATATYPE(3u32);
13168 pub const SL_DATA_MULTI_SZ: SLDATATYPE = SLDATATYPE(7u32);
13169 pub const SL_DATA_SUM: SLDATATYPE = SLDATATYPE(100u32);
13179 impl ::std::ops::BitOr for SLDATATYPE {
13185 impl ::std::ops::BitAnd for SLDATATYPE {
13191 impl ::std::ops::BitOrAssign for SLDATATYPE {
[all …]