Home
last modified time | relevance | path

Searched refs:SLICE_SIZE (Results 1 – 25 of 47) sorted by relevance

12

/dports/games/libretro-fbneo/FBNeo-bbe3c05/src/intf/video/psp/
H A Dvid_psp.c3 #define SLICE_SIZE 32 // change this to experiment with different page-cache sizes macro
63 for (start = sx, end = sx+sw; start < end; start += SLICE_SIZE, dx += SLICE_SIZE) in vidgu_render_nostretch()
66 int width = (start + SLICE_SIZE) < end ? SLICE_SIZE : end-start; in vidgu_render_nostretch()
103 float ustep = (float)sw / (float)(dw / SLICE_SIZE); in vidgu_render()
107 for (start = sx, end = sx+dw; start < end; start += SLICE_SIZE, dx += SLICE_SIZE) in vidgu_render()
110 int width = (start + SLICE_SIZE) < end ? SLICE_SIZE : end-start; in vidgu_render()
/dports/games/libretro-fbalpha/fbalpha-84eb9d9/src/intf/video/psp/
H A Dvid_psp.c3 #define SLICE_SIZE 32 // change this to experiment with different page-cache sizes macro
63 for (start = sx, end = sx+sw; start < end; start += SLICE_SIZE, dx += SLICE_SIZE) in vidgu_render_nostretch()
66 int width = (start + SLICE_SIZE) < end ? SLICE_SIZE : end-start; in vidgu_render_nostretch()
103 float ustep = (float)sw / (float)(dw / SLICE_SIZE); in vidgu_render()
107 for (start = sx, end = sx+dw; start < end; start += SLICE_SIZE, dx += SLICE_SIZE) in vidgu_render()
110 int width = (start + SLICE_SIZE) < end ? SLICE_SIZE : end-start; in vidgu_render()
/dports/emulators/mess/mame-mame0226/src/devices/machine/
H A Di3002.cpp169 set_co(BIT(tmp , SLICE_SIZE)); in update()
179 set_co(BIT(tmp , SLICE_SIZE)); in update()
210 set_co(BIT(tmp , SLICE_SIZE)); in update()
221 set_co(BIT(tmp , SLICE_SIZE)); in update()
230 set_co(BIT(tmp , SLICE_SIZE)); in update()
245 set_co(BIT(tmp , SLICE_SIZE)); in update()
255 set_co(BIT(tmp , SLICE_SIZE)); in update()
268 set_co(BIT(tmp , SLICE_SIZE)); in update()
278 set_co(BIT(tmp , SLICE_SIZE)); in update()
288 set_co(BIT(tmp , SLICE_SIZE)); in update()
H A Di3002.h39 static constexpr unsigned SLICE_SIZE = 2; variable
42 static constexpr uint8_t WORD_MASK = ((1U << SLICE_SIZE) - 1);
/dports/emulators/mame/mame-mame0226/src/devices/machine/
H A Di3002.cpp169 set_co(BIT(tmp , SLICE_SIZE)); in update()
179 set_co(BIT(tmp , SLICE_SIZE)); in update()
210 set_co(BIT(tmp , SLICE_SIZE)); in update()
221 set_co(BIT(tmp , SLICE_SIZE)); in update()
230 set_co(BIT(tmp , SLICE_SIZE)); in update()
245 set_co(BIT(tmp , SLICE_SIZE)); in update()
255 set_co(BIT(tmp , SLICE_SIZE)); in update()
268 set_co(BIT(tmp , SLICE_SIZE)); in update()
278 set_co(BIT(tmp , SLICE_SIZE)); in update()
288 set_co(BIT(tmp , SLICE_SIZE)); in update()
H A Di3002.h39 static constexpr unsigned SLICE_SIZE = 2; variable
42 static constexpr uint8_t WORD_MASK = ((1U << SLICE_SIZE) - 1);
/dports/sysutils/dar/dar-2.5.14-bis/doc/samples/
H A Dcluster_digital_backups.sh32 SLICE_SIZE=100M
52 /usr/bin/dar -m 256 -s $SLICE_SIZE -y -R / \
68 /usr/bin/dar -m 256 -s $SLICE_SIZE -y -R / \
H A Ddar_backups.sh42 SLICE_SIZE=200M
76 /usr/bin/dar -m 256 -s $SLICE_SIZE -y -R $ROOT_DIR \
92 /usr/bin/dar -m 256 -s $SLICE_SIZE -y -R $ROOT_DIR \
H A Davailable_space.duc19 SLICE_SIZE="$7"
24 while [ $FREE -le $SLICE_SIZE ]; do
H A Ddar_backup18 my $SLICE_SIZE=("4000M");
90 my $DAR=("dar -y -s $SLICE_SIZE -R $ROOT_DIR -D $NO_COMPRESS_LIST -p -E \"$PRE_PARITY_MESSAGE ; $PA…
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/misc/eeprom/
H A Dmax6875.c33 #define SLICE_SIZE 16 macro
86 SLICE_SIZE, in max6875_update_slice()
87 buf) != SLICE_SIZE) { in max6875_update_slice()
91 for (i = 0; i < SLICE_SIZE; i++) { in max6875_update_slice()
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/misc/eeprom/
H A Dmax6875.c33 #define SLICE_SIZE 16 macro
86 SLICE_SIZE, in max6875_update_slice()
87 buf) != SLICE_SIZE) { in max6875_update_slice()
91 for (i = 0; i < SLICE_SIZE; i++) { in max6875_update_slice()
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/misc/eeprom/
H A Dmax6875.c33 #define SLICE_SIZE 16 macro
86 SLICE_SIZE, in max6875_update_slice()
87 buf) != SLICE_SIZE) { in max6875_update_slice()
91 for (i = 0; i < SLICE_SIZE; i++) { in max6875_update_slice()
/dports/www/qt5-webengine/qtwebengine-everywhere-src-5.15.2/src/3rdparty/chromium/third_party/perfetto/ui/src/controller/
H A Dtrace_stream.ts18 const SLICE_SIZE = 32 * 1024 * 1024; constant
65 const sliceEnd = Math.min(this.bytesRead + SLICE_SIZE, this.traceFile.size);
85 const len = Math.min(SLICE_SIZE, this.traceBuf.byteLength - this.bytesRead);
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/perfetto/ui/src/controller/
H A Dtrace_stream.ts18 const SLICE_SIZE = 32 * 1024 * 1024; constant
65 const sliceEnd = Math.min(this.bytesRead + SLICE_SIZE, this.traceFile.size);
85 const len = Math.min(SLICE_SIZE, this.traceBuf.byteLength - this.bytesRead);
/dports/sysutils/fwup/fwup-1.9.0/src/3rdparty/semver.c/
H A Dsemver.c13 #define SLICE_SIZE 50
184 if (len > SLICE_SIZE) return -1;
482 char buf[SLICE_SIZE] = {0};
490 char buf[SLICE_SIZE] = {0};
603 char buf[SLICE_SIZE * 3]; in new()
604 memset(&buf, 0, SLICE_SIZE * 3); in new()
/dports/net/freeswitch/freeswitch-1.10.3.-release/src/mod/codecs/mod_openh264/
H A Dmod_openh264.cpp48 #define SLICE_SIZE SWITCH_DEFAULT_VIDEO_SIZE //NALU Slice Size macro
154 param->sSpatialLayers[iIndexLayer].sSliceArgument.uiSliceSizeConstraint = SLICE_SIZE; in FillSpecificParameters()
155 param->uiMaxNalSize = SLICE_SIZE + NAL_HEADER_ADD_0X30BYTES; in FillSpecificParameters()
349 if (nalu_len > SLICE_SIZE) { in nalu_slice()
354 if (nalu_len <= SLICE_SIZE) { in nalu_slice()
384 if (left > (SLICE_SIZE - 2)) { in nalu_slice()
401 memcpy(p + 2, buffer + context->last_nalu_data_pos, SLICE_SIZE - 2); in nalu_slice()
402 context->last_nalu_data_pos += (SLICE_SIZE - 2); in nalu_slice()
403 context->nalu_eat += (SLICE_SIZE - 2); in nalu_slice()
404 frame->datalen = SLICE_SIZE; in nalu_slice()
/dports/cad/PrusaSlicer/PrusaSlicer-version_2.3.3/src/semver/
H A Dsemver.c13 #define SLICE_SIZE 50 macro
191 if (len > SLICE_SIZE) return -1; in semver_parse_version()
491 char buf[SLICE_SIZE] = {0}; in concat_num()
499 char buf[SLICE_SIZE] = {0}; in concat_char()
612 char buf[SLICE_SIZE * 3]; in semver_numeric()
613 memset(&buf, 0, SLICE_SIZE * 3); in semver_numeric()
/dports/devel/gitui/gitui-0.10.1/src/tabs/
H A Drevlog.rs28 const SLICE_SIZE: usize = 1200; const
135 self.list.selection().saturating_sub(SLICE_SIZE / 2); in fetch_commits()
139 &self.git_log.get_slice(want_min, SLICE_SIZE)?, in fetch_commits()
/dports/emulators/ppsspp-qt5/ppsspp-1.12.3/Core/Debugger/
H A DMemBlockInfo.cpp58 static constexpr uint32_t SLICE_SIZE = MAX_SIZE / SLICES;
239 Slab *slab = heads_[addr / SLICE_SIZE];
328 uint32_t slice = slab->start / SLICE_SIZE;
329 uint32_t endSlice = (slab->end - 1) / SLICE_SIZE;
332 if (slab->start == slice * SLICE_SIZE) {
/dports/emulators/ppsspp/ppsspp-1.12.3/Core/Debugger/
H A DMemBlockInfo.cpp58 static constexpr uint32_t SLICE_SIZE = MAX_SIZE / SLICES; member in MemSlabMap
239 Slab *slab = heads_[addr / SLICE_SIZE]; in FindSlab()
328 uint32_t slice = slab->start / SLICE_SIZE; in FillHeads()
329 uint32_t endSlice = (slab->end - 1) / SLICE_SIZE; in FillHeads()
332 if (slab->start == slice * SLICE_SIZE) { in FillHeads()
/dports/emulators/libretro-ppsspp/ppsspp-1.12.3/Core/Debugger/
H A DMemBlockInfo.cpp58 static constexpr uint32_t SLICE_SIZE = MAX_SIZE / SLICES; member in MemSlabMap
239 Slab *slab = heads_[addr / SLICE_SIZE]; in FindSlab()
328 uint32_t slice = slab->start / SLICE_SIZE; in FillHeads()
329 uint32_t endSlice = (slab->end - 1) / SLICE_SIZE; in FillHeads()
332 if (slab->start == slice * SLICE_SIZE) { in FillHeads()
/dports/lang/clover/mesa-21.3.6/src/gallium/drivers/nouveau/
H A Dnouveau_vp3_video.h38 #define SLICE_SIZE 0x200 macro
195 *slice_size = (SLICE_SIZE * slice_count)>>8; in nouveau_vp3_inter_sizes()
/dports/graphics/libosmesa-gallium/mesa-21.3.6/src/gallium/drivers/nouveau/
H A Dnouveau_vp3_video.h38 #define SLICE_SIZE 0x200 macro
195 *slice_size = (SLICE_SIZE * slice_count)>>8; in nouveau_vp3_inter_sizes()
/dports/graphics/libosmesa/mesa-21.3.6/src/gallium/drivers/nouveau/
H A Dnouveau_vp3_video.h38 #define SLICE_SIZE 0x200 macro
195 *slice_size = (SLICE_SIZE * slice_count)>>8; in nouveau_vp3_inter_sizes()

12