Home
last modified time | relevance | path

Searched refs:ScanAsg (Results 1 – 16 of 16) sorted by relevance

/dports/cad/alliance/alliance/src/fvh/src/
H A Dfvhfbh2fsm.c1037 fbasg_list *ScanAsg;
1058 fvherror( FVH_ERROR_IN_CLOCK_PROCESS, ScanAsg->NAME, ScanAsg->LINE_NUM );
1107 fvherror( FVH_ERROR_ILLEGAL_OUT_ASSIGN, ScanAsg->NAME, ScanAsg->LINE_NUM );
1190 ABL_ATOM_VALUE( ScanAsg->ABL ), ScanAsg->LINE_NUM );
1199 ABL_ATOM_VALUE( ScanAsg->ABL ), ScanAsg->LINE_NUM );
1235 fvherror( FVH_ERROR_IN_MAIN_PROCESS, ScanAsg->NAME, ScanAsg->LINE_NUM );
1327 ABL_ATOM_VALUE( ScanAsg->ABL ), ScanAsg->LINE_NUM );
1350 ABL_ATOM_VALUE( ScanAsg->ABL ), ScanAsg->LINE_NUM );
1362 fvherror( FVH_ERROR_ILLEGAL_STATE_ASSIGN, ScanAsg->NAME, ScanAsg->LINE_NUM );
1397 ABL_ATOM_VALUE( ScanAsg->ABL ), ScanAsg->LINE_NUM );
[all …]
H A Dfbh_uti.c62 void FbhScanExprAsg( ScanAsg ) in FbhScanExprAsg() argument
64 fbasg_list *ScanAsg; in FbhScanExprAsg()
66 FbhFuncLeft( ScanAsg->NAME );
67 FbhFuncRight( ScanAsg->ABL );
H A Dfvhfbh2fsm.h117 …TreatAsg __P((fbfig_list *FbhFigure, fsmfig_list *FsmFigure, fbasg_list *ScanAsg, fsmstate_list **…
/dports/cad/alliance/alliance/src/rtd/src/
H A Drtd_drive.c259 rtlasg_list *ScanAsg; local
262 for ( ScanAsg = RtlFigure->ASSIGN;
263 ScanAsg != (rtlasg_list *)0;
264 ScanAsg = ScanAsg->NEXT )
267 (long)ScanAsg->TYPE,
268 (long)ScanAsg->REG_TYPE,
269 (long)ScanAsg->FLAGS );
271 RtlWriteExpr( "ASG_ATOM", ScanAsg->VEX_ATOM );
272 RtlWriteExpr( "ASG_DATA", ScanAsg->VEX_DATA );
274 for ( ScanBiVex = ScanAsg->BIVEX;
/dports/cad/alliance/alliance/src/rtn/src/
H A Drtndel.c209 rtlasg_list *ScanAsg; local
282 ScanAsg = Figure->ASSIGN;
284 while ( ScanAsg != (rtlasg_list *)0 )
286 freevexexpr( ScanAsg->VEX_ATOM );
287 freevexexpr( ScanAsg->VEX_DATA );
289 loc_delallbivex( ScanAsg->BIVEX );
291 Delete = (void *)( ScanAsg );
292 ScanAsg = ScanAsg->NEXT;
/dports/cad/alliance/alliance/src/vasy/src/
H A Dvasy_redact.c171 vpnact_list *ScanAsg; local
208 ScanAsg = (vpnact_list *)Element->VALUE;
211 Expr = dupvexexpr( ScanAsg->VEX_EXPR );
233 ScanAsg = (vpnact_list *)Element->VALUE;
234 AsgExpr = ScanAsg->VEX_EXPR;
235 AsgAtom = ScanAsg->VEX_ATOM;
249 ScanAsg->VEX_EXPR = dupvexnode( DefAtom );
251 NewAct = addvpnactasgbefore( VasyFigure, VasySubstTrans, ScanAsg, DefAtom, AsgExpr );
252 unionvpnline( VasyFigure, &NewAct->LINE, ScanAsg->LINE );
254 AsgExpr = ScanAsg->VEX_EXPR;
H A Dvasy_array.c544 vbasg_list *ScanAsg; local
583 ScanAsg = (vbasg_list *)0;
589 ScanAsg = (vbasg_list *)CurInst->DATA;
591 Line = ScanAsg->LINE;
592 VexTarget = ScanAsg->TARGET;
688 ScanAsg->TARGET = VexTarget;
689 ScanAsg->VEX = VasyArrayScanVex( ScanAsg->VEX );
696 else ScanAsg->VEX = VasyArrayScanVex( ScanAsg->VEX );
713 ScanAsg->VEX = VasyArrayScanVex( ScanAsg->VEX );
714 VexExpr = ScanAsg->VEX;
[all …]
H A Dvasy_dynfunc.c324 vbasg_list *ScanAsg; local
336 ScanAsg = (vbasg_list *)CurInst->DATA;
337 ScanAsg->VEX = VasyDynFuncScanVex( ScanAsg->VEX );
H A Dvasy_loop.c328 vbasg_list *ScanAsg; local
375 ScanAsg = (vbasg_list *)Instruction->DATA;
379 NewAsg->TARGET = VasyUnrollForBodyVexExpr( ScanAsg->TARGET );
380 NewAsg->VEX = VasyUnrollForBodyVexExpr( ScanAsg->VEX );
381 NewAsg->TYPE = ScanAsg->TYPE;
382 NewAsg->LINE = ScanAsg->LINE;
H A Dvasy_generate.c365 vbasg_list *ScanAsg; local
411 ScanAsg = (vbasg_list *)Instruction->DATA;
415 NewAsg->TARGET = VasyGenerateForVexExpr( ScanAsg->TARGET );
416 NewAsg->VEX = VasyGenerateForVexExpr( ScanAsg->VEX );
417 NewAsg->TYPE = ScanAsg->TYPE;
418 NewAsg->LINE = ScanAsg->LINE;
/dports/cad/alliance/alliance/src/vbh/src/
H A Dvbh_simp.c124 static void loc_simpvbasg( ScanAsg ) in loc_simpvbasg() argument
126 vbasg_list *ScanAsg; in loc_simpvbasg()
128 ScanAsg->TARGET = (*VbhFunctionL)( ScanAsg->TARGET );
129 ScanAsg->VEX = (*VbhFunctionR)( ScanAsg->VEX );
H A Dvbh_fre.c501 void vbh_frevbasg( ScanAsg ) in vbh_frevbasg() argument
503 vbasg_list *ScanAsg; in vbh_frevbasg()
505 freevexexpr( ScanAsg->TARGET );
506 freevexexpr( ScanAsg->VEX );
508 autfreeheap( ScanAsg, sizeof(struct vbasg) );
H A Dvbh_view.c198 static void loc_viewvbasg( ScanAsg ) in loc_viewvbasg() argument
200 vbasg_list *ScanAsg; in loc_viewvbasg()
202 fprintf( stdout, "[%ld] ", ScanAsg->LINE );
203 viewvexexprbound( ScanAsg->TARGET );
204 fprintf( stdout, " TYPE %s ", loc_getvbhtypename( ScanAsg->TYPE ) );
206 viewvexexprbound( ScanAsg->VEX );
H A Dvbh_dup.c132 static ptype_list *loc_dupvbasg( PType, ScanAsg ) in loc_dupvbasg() argument
135 vbasg_list *ScanAsg;
139 DupType = vbh_getvbtyp( LocalDupFigure, ScanAsg->TYPE->NAME );
140 PType = vbh_addvbasg( PType, dupvexexpr( ScanAsg->TARGET ),
141 dupvexexpr( ScanAsg->VEX ), DupType, ScanAsg->LINE );
H A Dvvh_vbh2vpn.c810 static void VvhVbhTreatAsg( ScanAsg ) in VvhVbhTreatAsg() argument
812 vbasg_list *ScanAsg; in VvhVbhTreatAsg()
823 VvhCurrentLine = ScanAsg->LINE;
826 Target = VvhVbhDuplicateExpr( ScanAsg->TARGET );
827 Expr = VvhVbhDuplicateExpr( ScanAsg->VEX );
/dports/cad/alliance/alliance/src/vpn/src/
H A Dvpnview.c413 vpnact_list *ScanAsg; local
453 for ( ScanAsg = Trans->ACT;
454 ScanAsg != (vpnact_list *)0;
455 ScanAsg = ScanAsg->NEXT )
457 viewvpnact( ScanAsg );