Home
last modified time | relevance | path

Searched refs:SimpleEnum (Results 1 – 25 of 172) sorted by relevance

1234567

/dports/lang/mono/mono-5.10.1.57/external/corefx/src/System.Runtime/tests/System/
H A DEnumTests.cs91 …yield return new object[] { "Blue,Red,Green", false, SimpleEnum.Red | SimpleEnum.Blue | SimpleEnum in Parse_TestData()
92 …eturn new object[] { "Blue,Red,Red,Red,Green", false, SimpleEnum.Red | SimpleEnum.Blue | SimpleEnu… in Parse_TestData()
93 …eld return new object[] { "Red,Blue, Green", false, SimpleEnum.Red | SimpleEnum.Blue | SimpleEnu… in Parse_TestData()
259 yield return new object[] { typeof(SimpleEnum), SimpleEnum.Red, "Red" }; in GetName_TestData()
424 yield return new object[] { typeof(SimpleEnum), SimpleEnum.Red, true }; in IsDefined_TestData()
425 yield return new object[] { typeof(SimpleEnum), (SimpleEnum)99, false }; in IsDefined_TestData()
909 yield return new object[] { SimpleEnum.Red, SimpleEnum.Red, 0 }; in CompareTo_TestData()
910 yield return new object[] { SimpleEnum.Red, (SimpleEnum)0, 1 }; in CompareTo_TestData()
911 yield return new object[] { SimpleEnum.Red, (SimpleEnum)2, -1 }; in CompareTo_TestData()
1027 …new object[] { SimpleEnum.Red, SimpleEnum.Blue, SimpleEnum.Green, SimpleEnum.Green_a, SimpleEnum.G… in GetNames_GetValues_TestData()
[all …]
/dports/security/py-trezor/trezor-0.13.0/tests/
H A Dtest_protobuf_misc.py24 class SimpleEnum(IntEnum): class
59 messages.SimpleEnum = SimpleEnum
82 enum=SimpleEnum.BAR,
85 rep_enum=[SimpleEnum.FOO, SimpleEnum.BAR, SimpleEnum.QUUX],
101 enum=SimpleEnum.BAR,
104 rep_enum=[SimpleEnum.FOO, SimpleEnum.BAR, SimpleEnum.QUUX],
133 assert recovered.enum is SimpleEnum.FOO
135 assert recovered.rep_enum == [SimpleEnum.FOO, SimpleEnum.BAR, SimpleEnum.BAR]
168 SimpleMessage(rep_enum=[SimpleEnum.BAR, SimpleEnum.BAR]),
185 SimpleMessage(rep_enum=[SimpleEnum.BAR, SimpleEnum.BAR]),
[all …]
/dports/security/suricata/suricata-6.0.4/rust/vendor/test-case/tests/
H A Dtest_cases.rs149 enum SimpleEnum { enum
154 #[test_case(SimpleEnum::Var2('a', 4) => matches SimpleEnum::Var2(_, 4))]
155 fn pattern_matching_result(e: SimpleEnum) -> SimpleEnum { in pattern_matching_result() argument
160 #[test_case(SimpleEnum::Var2('a', 4) => matches SimpleEnum::Var2(_, 5))]
161 fn pattern_matching_result_fails(e: SimpleEnum) -> SimpleEnum { in pattern_matching_result_fails() argument
/dports/math/kalker/kalker-1.1.0/cargo-crates/test-case-1.0.0/tests/
H A Dtest_cases.rs149 enum SimpleEnum { enum
154 #[test_case(SimpleEnum::Var2('a', 4) => matches SimpleEnum::Var2(_, 4))]
155 fn pattern_matching_result(e: SimpleEnum) -> SimpleEnum { in pattern_matching_result() argument
160 #[test_case(SimpleEnum::Var2('a', 4) => matches SimpleEnum::Var2(_, 5))]
161 fn pattern_matching_result_fails(e: SimpleEnum) -> SimpleEnum { in pattern_matching_result_fails() argument
/dports/accessibility/wl-gammarelay-rs/wl-gammarelay-rs-0.2.1/cargo-crates/derivative-2.2.0/tests/
H A Dderive-partial-eq.rs35 enum SimpleEnum { enum
126 assert!(SimpleEnum::Some == SimpleEnum::Some); in main()
127 assert!(SimpleEnum::None == SimpleEnum::None); in main()
128 assert!(SimpleEnum::Some != SimpleEnum::None); in main()
129 assert!(SimpleEnum::None != SimpleEnum::Some); in main()
/dports/dns/encrypted-dns-server/encrypted-dns-server-0.9.1/cargo-crates/derivative-2.2.0/tests/
H A Dderive-partial-eq.rs35 enum SimpleEnum { enum
126 assert!(SimpleEnum::Some == SimpleEnum::Some); in main()
127 assert!(SimpleEnum::None == SimpleEnum::None); in main()
128 assert!(SimpleEnum::Some != SimpleEnum::None); in main()
129 assert!(SimpleEnum::None != SimpleEnum::Some); in main()
/dports/net-im/libsignal-client/libsignal-client-0.9.6/cargo-crates/derivative-2.2.0/tests/
H A Dderive-partial-eq.rs35 enum SimpleEnum { enum
126 assert!(SimpleEnum::Some == SimpleEnum::Some); in main()
127 assert!(SimpleEnum::None == SimpleEnum::None); in main()
128 assert!(SimpleEnum::Some != SimpleEnum::None); in main()
129 assert!(SimpleEnum::None != SimpleEnum::Some); in main()
/dports/sysutils/vector/vector-0.10.0/cargo-crates/derivative-2.1.1/tests/
H A Dderive-partial-eq.rs33 enum SimpleEnum { enum
124 assert!(SimpleEnum::Some == SimpleEnum::Some); in main()
125 assert!(SimpleEnum::None == SimpleEnum::None); in main()
126 assert!(SimpleEnum::Some != SimpleEnum::None); in main()
127 assert!(SimpleEnum::None != SimpleEnum::Some); in main()
/dports/games/abstreet/abstreet-0.2.9-49-g74aca40c0/cargo-crates/derivative-2.1.1/tests/
H A Dderive-partial-eq.rs33 enum SimpleEnum { enum
124 assert!(SimpleEnum::Some == SimpleEnum::Some); in main()
125 assert!(SimpleEnum::None == SimpleEnum::None); in main()
126 assert!(SimpleEnum::Some != SimpleEnum::None); in main()
127 assert!(SimpleEnum::None != SimpleEnum::Some); in main()
/dports/x11/alacritty/alacritty-0.9.0/cargo-crates/derivative-2.2.0/tests/
H A Dderive-partial-eq.rs35 enum SimpleEnum { enum
126 assert!(SimpleEnum::Some == SimpleEnum::Some); in main()
127 assert!(SimpleEnum::None == SimpleEnum::None); in main()
128 assert!(SimpleEnum::Some != SimpleEnum::None); in main()
129 assert!(SimpleEnum::None != SimpleEnum::Some); in main()
/dports/sysutils/czkawka/czkawka-3.3.1/cargo-crates/derivative-2.2.0/tests/
H A Dderive-partial-eq.rs35 enum SimpleEnum { enum
126 assert!(SimpleEnum::Some == SimpleEnum::Some); in main()
127 assert!(SimpleEnum::None == SimpleEnum::None); in main()
128 assert!(SimpleEnum::Some != SimpleEnum::None); in main()
129 assert!(SimpleEnum::None != SimpleEnum::Some); in main()
/dports/audio/ncspot/ncspot-0.9.3/cargo-crates/derivative-2.2.0/tests/
H A Dderive-partial-eq.rs35 enum SimpleEnum { enum
126 assert!(SimpleEnum::Some == SimpleEnum::Some); in main()
127 assert!(SimpleEnum::None == SimpleEnum::None); in main()
128 assert!(SimpleEnum::Some != SimpleEnum::None); in main()
129 assert!(SimpleEnum::None != SimpleEnum::Some); in main()
/dports/deskutils/just/just-0.10.5/cargo-crates/derivative-2.2.0/tests/
H A Dderive-partial-eq.rs35 enum SimpleEnum { enum
126 assert!(SimpleEnum::Some == SimpleEnum::Some); in main()
127 assert!(SimpleEnum::None == SimpleEnum::None); in main()
128 assert!(SimpleEnum::Some != SimpleEnum::None); in main()
129 assert!(SimpleEnum::None != SimpleEnum::Some); in main()
/dports/sysutils/tealdeer/tealdeer-1.4.1/cargo-crates/derivative-2.1.1/tests/
H A Dderive-partial-eq.rs33 enum SimpleEnum { enum
124 assert!(SimpleEnum::Some == SimpleEnum::Some); in main()
125 assert!(SimpleEnum::None == SimpleEnum::None); in main()
126 assert!(SimpleEnum::Some != SimpleEnum::None); in main()
127 assert!(SimpleEnum::None != SimpleEnum::Some); in main()
/dports/devel/php-ice37/ice-3.7.2/csharp/test/Ice/enums/
H A DAllTests.cs62 test((int)Test.SimpleEnum.red == 0); in allTests()
63 test((int)Test.SimpleEnum.green == 1); in allTests()
64 test((int)Test.SimpleEnum.blue == 2); in allTests()
92 ostr.writeEnum((int)Test.SimpleEnum.blue,(int)Test.SimpleEnum.blue); in allTests()
121 Test.SimpleEnum s; in allTests()
122 test(proxy.opSimple(Test.SimpleEnum.green, out s) == Test.SimpleEnum.green); in allTests()
123 test(s == Test.SimpleEnum.green); in allTests()
209 var s1 = new Test.SimpleEnum[3] in allTests()
211 Test.SimpleEnum.red, in allTests()
213 Test.SimpleEnum.blue in allTests()
[all …]
H A DTestI.cs33 …public override Test.SimpleEnum opSimple(Test.SimpleEnum s1, out Test.SimpleEnum s2, Ice.Current c… in opSimple()
57 …public override Test.SimpleEnum[] opSimpleSeq(Test.SimpleEnum[] s1, out Test.SimpleEnum[] s2, Ice.… in opSimpleSeq()
/dports/devel/ice37/ice-3.7.2/csharp/test/Ice/enums/
H A DAllTests.cs62 test((int)Test.SimpleEnum.red == 0); in allTests()
63 test((int)Test.SimpleEnum.green == 1); in allTests()
64 test((int)Test.SimpleEnum.blue == 2); in allTests()
92 ostr.writeEnum((int)Test.SimpleEnum.blue,(int)Test.SimpleEnum.blue); in allTests()
121 Test.SimpleEnum s; in allTests()
122 test(proxy.opSimple(Test.SimpleEnum.green, out s) == Test.SimpleEnum.green); in allTests()
123 test(s == Test.SimpleEnum.green); in allTests()
209 var s1 = new Test.SimpleEnum[3] in allTests()
211 Test.SimpleEnum.red, in allTests()
213 Test.SimpleEnum.blue in allTests()
[all …]
H A DTestI.cs33 …public override Test.SimpleEnum opSimple(Test.SimpleEnum s1, out Test.SimpleEnum s2, Ice.Current c… in opSimple()
57 …public override Test.SimpleEnum[] opSimpleSeq(Test.SimpleEnum[] s1, out Test.SimpleEnum[] s2, Ice.… in opSimpleSeq()
/dports/devel/py-ice37/ice-3.7.2/csharp/test/Ice/enums/
H A DAllTests.cs62 test((int)Test.SimpleEnum.red == 0); in allTests()
63 test((int)Test.SimpleEnum.green == 1); in allTests()
64 test((int)Test.SimpleEnum.blue == 2); in allTests()
92 ostr.writeEnum((int)Test.SimpleEnum.blue,(int)Test.SimpleEnum.blue); in allTests()
121 Test.SimpleEnum s; in allTests()
122 test(proxy.opSimple(Test.SimpleEnum.green, out s) == Test.SimpleEnum.green); in allTests()
123 test(s == Test.SimpleEnum.green); in allTests()
209 var s1 = new Test.SimpleEnum[3] in allTests()
211 Test.SimpleEnum.red, in allTests()
213 Test.SimpleEnum.blue in allTests()
[all …]
H A DTestI.cs33 …public override Test.SimpleEnum opSimple(Test.SimpleEnum s1, out Test.SimpleEnum s2, Ice.Current c… in opSimple()
57 …public override Test.SimpleEnum[] opSimpleSeq(Test.SimpleEnum[] s1, out Test.SimpleEnum[] s2, Ice.… in opSimpleSeq()
/dports/lang/mono/mono-5.10.1.57/external/corefx/src/System.Collections.Concurrent/tests/ConcurrentDictionary/
H A DConcurrentDictionary.Generic.Tests.cs12 …rentDictionary_Generic_Tests_enum_enum : ConcurrentDictionary_Generic_Tests<SimpleEnum, SimpleEnum>
16 protected override KeyValuePair<SimpleEnum, SimpleEnum> CreateT(int seed) in CreateT()
18 return new KeyValuePair<SimpleEnum, SimpleEnum>(CreateTKey(seed), CreateTValue(seed)); in CreateT()
21 protected override SimpleEnum CreateTKey(int seed) => (SimpleEnum)new Random(seed).Next(); in CreateTKey()
23 protected override SimpleEnum CreateTValue(int seed) => CreateTKey(seed); in CreateTValue()
/dports/devel/gdb/gdb-11.1/gdb/testsuite/gdb.rust/
H A Dmethods.rs98 enum SimpleEnum { enum
104 impl SimpleEnum { implementation
107 SimpleEnum::One => 1, in value()
108 SimpleEnum::Two => 2, in value()
109 SimpleEnum::Three => 452, in value()
121 let c = SimpleEnum::Three; in main()
/dports/devel/php-ice37/ice-3.7.2/java-compat/test/src/main/java/test/Ice/enums/
H A DAllTests.java109 test(SimpleEnum.red.value() == 0); in allTests()
110 test(SimpleEnum.green.value() == 1); in allTests()
111 test(SimpleEnum.blue.value() == 2); in allTests()
113 test(SimpleEnum.valueOf(0) == SimpleEnum.red); in allTests()
114 test(SimpleEnum.valueOf(1) == SimpleEnum.green); in allTests()
115 test(SimpleEnum.valueOf(2) == SimpleEnum.blue); in allTests()
144 SimpleEnum.ice_write(os, SimpleEnum.blue); in allTests()
174 test(proxy.opSimple(SimpleEnum.green, s) == SimpleEnum.green); in allTests()
175 test(s.value == SimpleEnum.green); in allTests()
228 SimpleEnum s1[] = { SimpleEnum.red, SimpleEnum.green, SimpleEnum.blue }; in allTests()
[all …]
/dports/devel/ice37/ice-3.7.2/java-compat/test/src/main/java/test/Ice/enums/
H A DAllTests.java109 test(SimpleEnum.red.value() == 0); in allTests()
110 test(SimpleEnum.green.value() == 1); in allTests()
111 test(SimpleEnum.blue.value() == 2); in allTests()
113 test(SimpleEnum.valueOf(0) == SimpleEnum.red); in allTests()
114 test(SimpleEnum.valueOf(1) == SimpleEnum.green); in allTests()
115 test(SimpleEnum.valueOf(2) == SimpleEnum.blue); in allTests()
144 SimpleEnum.ice_write(os, SimpleEnum.blue); in allTests()
174 test(proxy.opSimple(SimpleEnum.green, s) == SimpleEnum.green); in allTests()
175 test(s.value == SimpleEnum.green); in allTests()
228 SimpleEnum s1[] = { SimpleEnum.red, SimpleEnum.green, SimpleEnum.blue }; in allTests()
[all …]
/dports/devel/py-ice37/ice-3.7.2/java-compat/test/src/main/java/test/Ice/enums/
H A DAllTests.java109 test(SimpleEnum.red.value() == 0); in allTests()
110 test(SimpleEnum.green.value() == 1); in allTests()
111 test(SimpleEnum.blue.value() == 2); in allTests()
113 test(SimpleEnum.valueOf(0) == SimpleEnum.red); in allTests()
114 test(SimpleEnum.valueOf(1) == SimpleEnum.green); in allTests()
115 test(SimpleEnum.valueOf(2) == SimpleEnum.blue); in allTests()
144 SimpleEnum.ice_write(os, SimpleEnum.blue); in allTests()
174 test(proxy.opSimple(SimpleEnum.green, s) == SimpleEnum.green); in allTests()
175 test(s.value == SimpleEnum.green); in allTests()
228 SimpleEnum s1[] = { SimpleEnum.red, SimpleEnum.green, SimpleEnum.blue }; in allTests()
[all …]

1234567