Home
last modified time | relevance | path

Searched refs:TESTDATA_DIR (Results 1 – 25 of 107) sorted by relevance

12345

/dports/net/freeswitch/freeswitch-1.10.3.-release/libs/spandsp/tests/
H A Dg726_tests.c191 TESTDATA_DIR "DISK1/INPUT/I16",
221 TESTDATA_DIR "DISK1/INPUT/I24",
251 TESTDATA_DIR "DISK1/INPUT/I32",
281 TESTDATA_DIR "DISK1/INPUT/I40",
312 TESTDATA_DIR "DISK2/INPUT/I16",
342 TESTDATA_DIR "DISK2/INPUT/I24",
372 TESTDATA_DIR "DISK2/INPUT/I32",
402 TESTDATA_DIR "DISK2/INPUT/I40",
595 TESTDATA_DIR "DISK1/INPUT/I16",
625 TESTDATA_DIR "DISK1/INPUT/I24",
[all …]
H A Dg722_tests.c113 TESTDATA_DIR "T1C1.XMT",
114 TESTDATA_DIR "T2R1.COD",
115 TESTDATA_DIR "T1C2.XMT",
116 TESTDATA_DIR "T2R2.COD",
122 TESTDATA_DIR "T2R1.COD",
123 TESTDATA_DIR "T3L1.RC1",
124 TESTDATA_DIR "T3L1.RC2",
125 TESTDATA_DIR "T3L1.RC3",
126 TESTDATA_DIR "T3H1.RC0",
128 TESTDATA_DIR "T2R2.COD",
[all …]
H A Dgsm0610_tests.c131 #define TESTDATA_DIR "../test-data/etsi/gsm0610/unpacked/fr_" macro
159 sprintf(buf, "%s%c/%s.inp", TESTDATA_DIR, 'L', name); in get_test_vector()
171 sprintf(buf, "%s%c/%s.out", TESTDATA_DIR, 'L', name); in get_test_vector()
193 sprintf(buf, "%s%c/%s.cod", TESTDATA_DIR, 'L', name); in get_test_vector()
229 sprintf(buf, "%s%c/%s-%c.inp", TESTDATA_DIR, law_uc, name, law_uc); in get_law_test_vector()
239 sprintf(buf, "%s%c/%s-%c.cod", TESTDATA_DIR, law_uc, name, law_uc); in get_law_test_vector()
257 sprintf(buf, "%s%c/%s-%c.out", TESTDATA_DIR, law_uc, name, law_uc); in get_law_test_vector()
278 sprintf(buf, "%s%c/%s.cod", TESTDATA_DIR, 'L', name); in get_law_test_vector()
/dports/comms/spandsp/spandsp-284fe91/tests/
H A Dg726_tests.c191 TESTDATA_DIR "DISK1/INPUT/I16",
221 TESTDATA_DIR "DISK1/INPUT/I24",
251 TESTDATA_DIR "DISK1/INPUT/I32",
281 TESTDATA_DIR "DISK1/INPUT/I40",
312 TESTDATA_DIR "DISK2/INPUT/I16",
342 TESTDATA_DIR "DISK2/INPUT/I24",
372 TESTDATA_DIR "DISK2/INPUT/I32",
402 TESTDATA_DIR "DISK2/INPUT/I40",
595 TESTDATA_DIR "DISK1/INPUT/I16",
625 TESTDATA_DIR "DISK1/INPUT/I24",
[all …]
H A Dg722_tests.c113 TESTDATA_DIR "T1C1.XMT",
114 TESTDATA_DIR "T2R1.COD",
115 TESTDATA_DIR "T1C2.XMT",
116 TESTDATA_DIR "T2R2.COD",
122 TESTDATA_DIR "T2R1.COD",
123 TESTDATA_DIR "T3L1.RC1",
124 TESTDATA_DIR "T3L1.RC2",
125 TESTDATA_DIR "T3L1.RC3",
126 TESTDATA_DIR "T3H1.RC0",
128 TESTDATA_DIR "T2R2.COD",
[all …]
H A Dgsm0610_tests.c131 #define TESTDATA_DIR "../test-data/etsi/gsm0610/unpacked/fr_" macro
159 sprintf(buf, "%s%c/%s.inp", TESTDATA_DIR, 'L', name); in get_test_vector()
171 sprintf(buf, "%s%c/%s.out", TESTDATA_DIR, 'L', name); in get_test_vector()
193 sprintf(buf, "%s%c/%s.cod", TESTDATA_DIR, 'L', name); in get_test_vector()
229 sprintf(buf, "%s%c/%s-%c.inp", TESTDATA_DIR, law_uc, name, law_uc); in get_law_test_vector()
239 sprintf(buf, "%s%c/%s-%c.cod", TESTDATA_DIR, law_uc, name, law_uc); in get_law_test_vector()
257 sprintf(buf, "%s%c/%s-%c.out", TESTDATA_DIR, law_uc, name, law_uc); in get_law_test_vector()
278 sprintf(buf, "%s%c/%s.cod", TESTDATA_DIR, 'L', name); in get_law_test_vector()
/dports/net/iaxmodem/iaxmodem-1.2.0/lib/spandsp/tests/
H A Dg726_tests.c192 TESTDATA_DIR "DISK1/INPUT/I16",
222 TESTDATA_DIR "DISK1/INPUT/I24",
252 TESTDATA_DIR "DISK1/INPUT/I32",
282 TESTDATA_DIR "DISK1/INPUT/I40",
313 TESTDATA_DIR "DISK2/INPUT/I16",
343 TESTDATA_DIR "DISK2/INPUT/I24",
373 TESTDATA_DIR "DISK2/INPUT/I32",
403 TESTDATA_DIR "DISK2/INPUT/I40",
596 TESTDATA_DIR "DISK1/INPUT/I16",
626 TESTDATA_DIR "DISK1/INPUT/I24",
[all …]
H A Dg722_tests.c112 TESTDATA_DIR "T1C1.XMT", TESTDATA_DIR "T2R1.COD",
113 TESTDATA_DIR "T1C2.XMT", TESTDATA_DIR "T2R2.COD",
119 TESTDATA_DIR "T2R1.COD",
120 TESTDATA_DIR "T3L1.RC1",
121 TESTDATA_DIR "T3L1.RC2",
122 TESTDATA_DIR "T3L1.RC3",
123 TESTDATA_DIR "T3H1.RC0",
125 TESTDATA_DIR "T2R2.COD",
126 TESTDATA_DIR "T3L2.RC1",
127 TESTDATA_DIR "T3L2.RC2",
[all …]
/dports/devel/vc/Vc-1.4.2/tests/
H A Dmathreference.h58 template<> inline const char *filename<float , Sincos>() { return TESTDATA_DIR "reference-sincos-sp…
59 template<> inline const char *filename<double, Sincos>() { return TESTDATA_DIR "reference-sincos-dp…
60 template<> inline const char *filename<float , Atan >() { return TESTDATA_DIR "reference-atan-sp.d…
61 template<> inline const char *filename<double, Atan >() { return TESTDATA_DIR "reference-atan-dp.d…
62 template<> inline const char *filename<float , Asin >() { return TESTDATA_DIR "reference-asin-sp.d…
63 template<> inline const char *filename<double, Asin >() { return TESTDATA_DIR "reference-asin-dp.d…
66 template<> inline const char *filename<float , Log >() { return TESTDATA_DIR "reference-ln-sp.dat…
67 template<> inline const char *filename<double, Log >() { return TESTDATA_DIR "reference-ln-dp.dat…
68 template<> inline const char *filename<float , Log2 >() { return TESTDATA_DIR "reference-log2-sp.d…
69 template<> inline const char *filename<double, Log2 >() { return TESTDATA_DIR "reference-log2-dp.d…
[all …]
/dports/net/ooni-probe-cli/probe-cli-3.10.1/vendor/github.com/shuLhan/go-bindata/
H A DMakefile13 TESTDATA_DIR :=./testdata macro
36 $(TESTDATA_DIR)/_bindata_test.go \
37 $(TESTDATA_DIR)/_out_default_single.go
161 cp $(TESTDATA_DIR)/_bindata_test.go $(OUT_DIR)/bindata_test.go
173 cp $(TESTDATA_DIR)/_bindata_test.go $(OUT_DIR)/bindata_test.go
251 $(TESTDATA_OUT_DIR)/symlinkFile/bindata.go: $(TESTDATA_DIR)/symlinkFile $(TESTDATA_DIR)/_symlinkFil…
257 $(TESTDATA_DIR)/symlinkFile/...
264 $(TESTDATA_OUT_DIR)/symlinkParent/bindata.go: $(TESTDATA_DIR)/_symlinkParent_test.go $(TESTDATA_DIR
270 $(TESTDATA_DIR)/symlinkParent/...
277 …OUT_DIR)/symlinkRecursiveParent/bindata.go: $(TESTDATA_DIR)/_symlinkRecursiveParent_test.go $(TEST…
[all …]
/dports/www/qt5-webengine/qtwebengine-everywhere-src-5.15.2/src/3rdparty/chromium/third_party/webrtc/tools_webrtc/presubmit_checks_lib/
H A Dcheck_package_boundaries_test.py20 TESTDATA_DIR = os.path.join(os.path.dirname(os.path.abspath(__file__)), variable
45 self._RunTest(os.path.join(TESTDATA_DIR, 'no_errors'))
48 self._RunTest(os.path.join(TESTDATA_DIR, 'multiple_errors_single_target'))
51 self._RunTest(os.path.join(TESTDATA_DIR,
55 self._RunTest(os.path.join(TESTDATA_DIR, 'common_prefix'))
58 self._RunTest(os.path.join(TESTDATA_DIR, 'all_build_files'), True)
63 self._RunTest(os.path.join(TESTDATA_DIR, 'dangerous_filename'), True)
66 test_dir = os.path.join(TESTDATA_DIR, 'all_build_files')
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/webrtc/tools_webrtc/presubmit_checks_lib/
H A Dcheck_package_boundaries_test.py19 TESTDATA_DIR = os.path.join(os.path.dirname(os.path.abspath(__file__)), variable
46 self._RunTest(os.path.join(TESTDATA_DIR, 'no_errors'))
50 os.path.join(TESTDATA_DIR, 'multiple_errors_single_target'))
54 os.path.join(TESTDATA_DIR, 'multiple_errors_multiple_targets'))
57 self._RunTest(os.path.join(TESTDATA_DIR, 'common_prefix'))
60 self._RunTest(os.path.join(TESTDATA_DIR, 'all_build_files'), True)
65 self._RunTest(os.path.join(TESTDATA_DIR, 'dangerous_filename'), True)
68 test_dir = os.path.join(TESTDATA_DIR, 'all_build_files')
/dports/devel/qtcreator/qt-creator-opensource-src-5.0.3/tests/unit/unittest/
H A Dcodecompleter-test.cpp110 ClangBackEnd::FileContainer mainFileContainer{Utf8StringLiteral(TESTDATA_DIR
130 Utf8StringLiteral(TESTDATA_DIR"/complete_arrow.cpp"),
137 Utf8StringLiteral(TESTDATA_DIR"/complete_withDotArrowCorrectionForPointer.cpp"),
144 Utf8StringLiteral(TESTDATA_DIR"/complete_withDotArrowCorrectionForPointer.cpp"),
151 Utf8StringLiteral(TESTDATA_DIR"/complete_withDotArrowCorrectionForPointer.cpp"),
158 Utf8StringLiteral(TESTDATA_DIR"/complete_withDotArrowCorrectionForPointer.cpp"),
165 Utf8StringLiteral(TESTDATA_DIR"/complete_withDotArrowCorrectionForPointer.cpp"),
179 Utf8StringLiteral(TESTDATA_DIR"/complete_withNoDotArrowCorrectionForFloat.cpp"),
235 Utf8StringLiteral(TESTDATA_DIR"/complete_smartpointer.cpp"),
242 Utf8StringLiteral(TESTDATA_DIR"/completions_order.cpp"),
[all …]
H A Dcursor-test.cpp64 Utf8String filePath{Utf8StringLiteral(TESTDATA_DIR"/cursor.cpp")};
184 auto cursor = translationUnit.cursorAt(Utf8StringLiteral(TESTDATA_DIR"/cursor.h"), 10, 7); in TEST_F()
191 auto cursor = translationUnit.cursorAt(Utf8StringLiteral(TESTDATA_DIR"/cursor.h"), 10, 7); in TEST_F()
198 auto cursor = translationUnit.cursorAt(Utf8StringLiteral(TESTDATA_DIR"/cursor.h"), 10, 7); in TEST_F()
208 auto cursor = translationUnit.cursorAt(Utf8StringLiteral(TESTDATA_DIR"/cursor.h"), 10, 7); in TEST_F()
215 auto cursor = translationUnit.cursorAt(Utf8StringLiteral(TESTDATA_DIR"/cursor.h"), 6, 7); in TEST_F()
260 auto cursor = translationUnit.cursorAt(Utf8StringLiteral(TESTDATA_DIR"/cursor.h"), 15, 17); in TEST_F()
267 auto cursor = translationUnit.cursorAt(Utf8StringLiteral(TESTDATA_DIR"/cursor.h"), 15, 17); in TEST_F()
340 auto cursor = translationUnit.cursorAt(Utf8StringLiteral(TESTDATA_DIR"/cursor.h"), 10, 7); in TEST_F()
347 auto cursor = translationUnit.cursorAt(Utf8StringLiteral(TESTDATA_DIR"/cursor.h"), 28, 8); in TEST_F()
[all …]
H A Dclangfixitoperation-test.cpp71 Utf8String semicolonFilePath{TESTDATA_DIR"/diagnostic_semicolon_fixit.cpp", -1};
72 Utf8String compareFilePath{TESTDATA_DIR"/diagnostic_comparison_fixit.cpp", -1};
78 …QString semicolonExpectedFile{QString::fromUtf8(TESTDATA_DIR"/diagnostic_semicolon_fixit_expected.…
80 …QString compareExpected1File{QString::fromUtf8(TESTDATA_DIR"/diagnostic_comparison_fixit_expected1…
81 …QString compareExpected2File{QString::fromUtf8(TESTDATA_DIR"/diagnostic_comparison_fixit_expected2…
H A Dcodecompletionsextractor-test.cpp155 …Document classDocument{Utf8StringLiteral(TESTDATA_DIR"/complete_extractor_class.cpp"), compilation…
629 Document document(Utf8String::fromUtf8(TESTDATA_DIR "/complete_extractor_function.cpp"), in TEST_F()
634 {unsavedDataFileContainer(TESTDATA_DIR "/complete_extractor_function.cpp", in TEST_F()
635 TESTDATA_DIR "/complete_extractor_function_unsaved.cpp")}); in TEST_F()
649 Document document(Utf8String::fromUtf8(TESTDATA_DIR "/complete_extractor_function.cpp"), in TEST_F()
654 {unsavedDataFileContainer(TESTDATA_DIR "/complete_extractor_function.cpp", in TEST_F()
655 TESTDATA_DIR "/complete_extractor_function_unsaved.cpp")}); in TEST_F()
657 …unsavedFiles.createOrUpdate({unsavedDataFileContainer(TESTDATA_DIR"/complete_extractor_function.cp… in TEST_F()
658 TESTDATA_DIR"/complete_extractor_function_unsaved_2.cpp")}); in TEST_F()
672 Document variableDocument{Utf8StringLiteral(TESTDATA_DIR "/complete_extractor_variable.cpp"), in TEST_F()
[all …]
H A Dclangdocument-test.cpp79 Utf8String documentFilePath = Utf8StringLiteral(TESTDATA_DIR"/translationunits.cpp");
178 Contains(Utf8StringLiteral(TESTDATA_DIR"/translationunits.h")))); in TEST_F()
208 document.setDirtyIfDependencyIsMet(Utf8StringLiteral(TESTDATA_DIR"/otherfiles.h")); in TEST_F()
217 document.setDirtyIfDependencyIsMet(Utf8StringLiteral(TESTDATA_DIR"/translationunits.h")); in TEST_F()
225 document.setDirtyIfDependencyIsMet(Utf8StringLiteral(TESTDATA_DIR"/translationunits.h")); in TEST_F()
266 document.setDirtyIfDependencyIsMet(Utf8StringLiteral(TESTDATA_DIR"/translationunits.h")); in TEST_F()
275 document.setDirtyIfDependencyIsMet(Utf8StringLiteral(TESTDATA_DIR"/otherfiles.h")); in TEST_F()
/dports/devel/py-python-magic/python-magic-0.4.15/test/
H A Dtest.py11 TESTDATA_DIR = os.path.join(os.path.dirname(__file__), 'testdata') variable in MagicTest
16 filename = os.path.join(self.TESTDATA_DIR, filename)
18 filename = os.path.join(self.TESTDATA_DIR.encode('utf-8'), filename)
42 dest = os.path.join(MagicTest.TESTDATA_DIR, b'\xce\xbb'.decode('utf-8'))
43 shutil.copyfile(os.path.join(MagicTest.TESTDATA_DIR, 'lambda'), dest)
91 filename = os.path.join(self.TESTDATA_DIR, 'keep-going.jpg')
/dports/textproc/google-ctemplate/ctemplate-ctemplate-2.4/src/tests/
H A Dgenerate_fsm_test.sh41 TESTDATA_DIR="$TEST_SRCDIR/src/tests/htmlparser_testdata"
44 INPUT_FILE="$TESTDATA_DIR/sample_fsm.config"
45 OUTPUT_FILE="$TESTDATA_DIR/sample_fsm.c"
/dports/www/youtube_dl/youtube-dl/test/
H A Dtest_youtube_signature.py93 self.TESTDATA_DIR = os.path.join(TEST_DIR, 'testdata')
94 if not os.path.exists(self.TESTDATA_DIR):
95 os.mkdir(self.TESTDATA_DIR)
105 fn = os.path.join(self.TESTDATA_DIR, basename)
H A Dtest_cache.py31 TESTDATA_DIR = os.path.join(TEST_DIR, 'testdata')
32 _mkdir(TESTDATA_DIR)
33 self.test_dir = os.path.join(TESTDATA_DIR, 'cache_test')
/dports/www/yt-dlp/yt-dlp-2021.12.27/test/
H A Dtest_youtube_signature.py119 self.TESTDATA_DIR = os.path.join(TEST_DIR, 'testdata')
120 if not os.path.exists(self.TESTDATA_DIR):
121 os.mkdir(self.TESTDATA_DIR)
132 fn = os.path.join(self.TESTDATA_DIR, basename)
H A Dtest_cache.py31 TESTDATA_DIR = os.path.join(TEST_DIR, 'testdata')
32 _mkdir(TESTDATA_DIR)
33 self.test_dir = os.path.join(TESTDATA_DIR, 'cache_test')
/dports/converters/osm2pgsql/osm2pgsql-1.5.1/tests/
H A Dcommon-options.hpp57 m_opt.style = TESTDATA_DIR "gazetteer-test.style"; in gazetteer()
64 m_opt.style = TESTDATA_DIR; in flex()
77 m_opt.style = TESTDATA_DIR; in style()
/dports/sysutils/syslog-ng/syslog-ng-3.35.1/modules/confgen/tests/
H A Dtest_confgen.c30 #define TESTDATA_DIR TOP_SRCDIR "/modules/confgen/tests" macro
76 "@module confgen context(root) name(confgentest) exec('"TESTDATA_DIR "/confgentest.sh')\n" in Test()
95 …"@module confgen context(unknown-context) name(confgentest) exec('"TESTDATA_DIR "/confgentest.sh')… in Test()

12345