Home
last modified time | relevance | path

Searched refs:UY (Results 1 – 25 of 1638) sorted by relevance

12345678910>>...66

/dports/science/dcl/dcl-5.4.8/src/grph1/stpack/
H A Dstftrn.f6 SUBROUTINE STFTRN(UX, UY, VX, VY) argument
12 YY = UY
16 UYS = SIGN(1.0,UYMIN)*UY
26 YY = UY
30 UYS = SIGN(1.0,UYMIN)*UY
38 CALL CT2PC(UX, UY, XX, YY)
40 CALL CT2BC(UX, UY, XX, YY)
42 CALL MPFCYL(UX, UY, XX, YY)
44 CALL MPFMER(UX, UY, XX, YY)
106 UY = YY
[all …]
H A Dstftrf.f6 SUBROUTINE STFTRF(UX, UY, VX, VY) argument
15 IF (LMISS .AND. (UX.EQ.RMISS .OR. UY.EQ.RMISS)) THEN
21 CALL STFRAD(UX, UY, UX1, UY1)
32 ENTRY STITRF(VX, VY, UX, UY)
38 UY = RNA
46 CALL STIRAD(UX1, UY1, UX, UY)
H A Dstfrot.f6 SUBROUTINE STFROT(UX, UY, TX, TY) argument
13 CALL CR3S(THETAZ, PHIZ, PSIZ, PI2-UY, UX, Y1, TX)
18 ENTRY STIROT(TX, TY, UX, UY)
21 UY = PI2 - Y1
/dports/science/dcl/dcl-5.4.8/demo/grph1/sgpack/
H A Dsgksx2.f6 REAL UX(5), UY(5), VX(5), VY(5)
9 DATA UY / 4.0, 5.0, 4.0, 5.0, 4.0 /
39 CALL SGPLZU( 5, UX, UY, 2, 3 )
40 CALL SGPMZU( 5, UX, UY, 5, 3, 0.04 )
41 CALL STFTRF( UX(1), UY(1), VX1, VY1 )
42 CALL STFTRF( UX(4), UY(4), VX2, VY2 )
74 CALL SGPLZU( 5, UX, UY, 2, 3 )
75 CALL SGPMZU( 5, UX, UY, 5, 3, 0.04 )
76 CALL STFTRF( UX(1), UY(1), VX1, VY1 )
77 CALL STFTRF( UX(4), UY(4), VX2, VY2 )
H A Dsgksx3.f8 REAL UX(NP), UY(NP)
13 UY(I)=EXP(-I*0.03)*SIN(I*3.14/180*20)
43 CALL SGPLZU( NP, UX, UY, 2, 3 )
44 CALL SGPMZU( NP, UX, UY, 5, 3, 0.02 )
63 UY(20)=999.0
68 CALL SGPLZU( NP, UX, UY, 3, 3 )
69 CALL SGPMZU( NP, UX, UY, 2, 3, 0.02 )
H A Dsgfont.f42 UY=16-MOD(I,16)-0.5
43 CALL SGTXZU( UX, UY, CSGI(I), 0.035, 0, 0, 3 )
45 UY=UY+0.38
47 CALL SGTXZU( UX, UY, CH, 0.01, 0, +1, 1 )
H A Dsgfonz.f43 UY=16-MOD(I,16)-0.5
45 CALL SGTXZU( UX, UY, CSGI(I), 0.035, 0, 0, 3 )
48 UY=UY+0.38
50 CALL SGTXZU( UX, UY, CH, 0.01, 0, +1, 1 )
/dports/science/dcl/dcl-5.4.8/demo/grph2/g2pack/
H A Dg2pk01.f8 REAL UX(NX), UY(NY)
20 UY(J)=(J-1.0)/(NY-1.0)
25 CX(I,J) = UX(I) + 0.1*UY(J)
26 CY(I,J) = 0.2*UX(I) + UY(J)
44 CALL GRSWND(UX(1),UX(NX),UY(1),UY(NY))
45 CALL G2SCTR(NX,NY,UX,UY,CX,CY)
56 Z(I,J) = UX(I) + UY(J)
62 CALL UWSGYA(UY,NY)
69 UYW(I) = UY(J)
78 CALL SGPLU(NY,UXW,UY)
H A Dg2pk02.f8 REAL UX(NX), UY(NY)
24 UY(J)=(J-1.0)/(NY-1.0)
30 CY(I,J) = UY(J)*(1.0-TERRAIN(I)) + TERRAIN(I)
43 CALL GRSWND(UX(1),UX(NX),UY(1),UY(NY))
45 CALL G2SCTR(NX, NY, UX,UY, CX,CY)
52 Z(I,J) = UX(I) * (1-UY(J))
58 CALL UWSGYA(UY,NY)
65 UYW(I) = UY(J)
74 CALL SGPLU(NY,UXW,UY)
/dports/science/dcl/dcl-5.4.8/src/grph2/uwpack/
H A Duwqgyi.g6 SUBROUTINE UWQGYI(UY,IUY,FRAC)
20 IF (.NOT.(UYMINA.LE.UY .AND. UY.LE.UYMAXA)) THEN
27 YNORM = (UY-UYMINZ)/DYZ
32 IF (UY.GT.YPZ(IY)) THEN
34 IF (UY.LE.YPZ(I+1)) GO TO 30
38 IF (UY.GT.YPZ(I)) GO TO 30
43 IF (UY.GT.YPZ(IY)) THEN
45 IF (UY.LE.YPZ(I)) GO TO 130
49 IF (UY.GT.YPZ(I+1)) GO TO 130
56 FRAC = (UY-YPZ(I))/(YPZ(I+1)-YPZ(I))
/dports/devel/pear-Horde_Timezone/Horde_Timezone-1.1.0/test/Horde/Timezone/fixtures/
H A Duruguay.ics20 TZNAME:UY-T
42 TZNAME:UY-T
55 TZNAME:UY-T
74 TZNAME:UY-T
86 TZNAME:UY-T
98 TZNAME:UY-T
110 TZNAME:UY-T
122 TZNAME:UY-T
135 TZNAME:UY-T
142 TZNAME:UY-T
[all …]
/dports/science/dcl/dcl-5.4.8/src/grph2/uepack/
H A Duetonf.f89 CALL STITRF(VX, VY, UX, UY)
98 360 IF (UY.LT.0) UY=UY+360
99 IF (UY.GE.360) UY=UY-360
100 IF ((UY.LT.0).OR.(UY.GE.360)) GOTO 360
104 CALL UWQGYI(UY,IY,FY)
/dports/devel/intel-graphics-compiler/intel-graphics-compiler-igc-1.0.9636/IGC/WrapperLLVM/include/llvmWrapper/Support/
H A DMathExtras.h30 const U UY = static_cast<U>(Y); in SubOverflow() local
31 const U UResult = UX - UY; in SubOverflow()
54 const U UY = Y < 0 ? (0 - static_cast<U>(Y)) : static_cast<U>(Y); in MulOverflow() local
55 const U UResult = UX * UY; in MulOverflow()
62 if (UX == 0 || UY == 0) in MulOverflow()
69 return UX > (static_cast<U>(std::numeric_limits<T>::max()) + U(1)) / UY; in MulOverflow()
71 return UX > (static_cast<U>(std::numeric_limits<T>::max())) / UY; in MulOverflow()
/dports/science/dcl/dcl-5.4.8/src/env2/dclfont/
H A Ddclfont.f39 UY=16-MOD(I,16)-0.5
40 CALL SGTXZU(UX,UY,CSGI(I),0.035,0,0,3)
42 UY=UY+0.38
44 CALL SGTXZU(UX,UY,CH,0.01,0,+1,1)
/dports/math/armadillo/armadillo-10.7.1/include/armadillo_bits/
H A Dglue_trapz_meat.hpp36 const quasi_unwrap<T2> UY(in.B); in apply() local
38 if( UX.is_alias(out) || UY.is_alias(out) ) in apply()
42 glue_trapz::apply_noalias(tmp, UX.M, UY.M, dim); in apply()
48 glue_trapz::apply_noalias(out, UX.M, UY.M, dim); in apply()
115 const quasi_unwrap<T1> UY(in.m); in apply() local
117 if(UY.is_alias(out)) in apply()
121 op_trapz::apply_noalias(tmp, UY.M, dim); in apply()
127 op_trapz::apply_noalias(out, UY.M, dim); in apply()
/dports/math/R-cran-RcppArmadillo/RcppArmadillo/inst/include/armadillo_bits/
H A Dglue_trapz_meat.hpp36 const quasi_unwrap<T2> UY(in.B); in apply() local
38 if( UX.is_alias(out) || UY.is_alias(out) ) in apply()
42 glue_trapz::apply_noalias(tmp, UX.M, UY.M, dim); in apply()
48 glue_trapz::apply_noalias(out, UX.M, UY.M, dim); in apply()
115 const quasi_unwrap<T1> UY(in.m); in apply() local
117 if(UY.is_alias(out)) in apply()
121 op_trapz::apply_noalias(tmp, UY.M, dim); in apply()
127 op_trapz::apply_noalias(out, UY.M, dim); in apply()
/dports/cad/z88/Z88OS-15/examples/b24/
H A Dz88asy.ans2550 D,3,UY,0
2553 D,4,UY,0
2561 D,29,UY,0
2564 D,30,UY,0
2567 D,31,UY,0
2570 D,32,UY,0
2573 D,33,UY,0
2578 D,130,UY,0
2586 D,136,UY,0
2589 D,137,UY,0
[all …]
/dports/science/dcl/dcl-5.4.8/src/grph2/uypack/
H A Duyaxdv.f10 REAL UY(N) local
78 UY(NN)=Y
95 CALL UYPTMK(CSIDE,1,UY,NN)
111 UY(NN)=Y
117 CALL UYPTMK(CSIDE,2,UY,NN)
120 CALL UYPNUM(CSIDE,1,UY,NN)
H A Duypnum.f6 SUBROUTINE UYPNUM(CSIDE,ISLCT,UY,N) argument
10 REAL UY(*) local
35 CALL CHVAL(CFMTZ,UY(I),CH(I))
40 CALL UYPLBL(CSIDE,ISLCT,UY,CH,LCH,N)
/dports/science/elmerfem/elmerfem-release-9.0/elmerice/Solvers/
H A DOutputStrainHeating.F9090 UX(:), UY(:), UZ(:), Pressure(:),dBasisdx(:,:),Basis(:) local
104 UX, UY, UZ, Pressure, DIM,NumberOfVisits, M, Basis, dBasisdx
126 DEALLOCATE(Viscosity,Density,UX,UY,UZ,Pressure,&
135 UY( N ),&
176 UY(i) = FlowSolution( NSDOFs*k-1 )
180 UY(i) = FlowSolution( NSDOFs*k-2 )
185 UY(i) = 0.0d0
206 GradU(2,j) = SUM( UY(1:n)*dBasisdx(1:n,j) )
211 LocalU(2) = SUM( UY(1:n)*Basis(1:n) )
/dports/science/dcl/dcl-5.4.8/src/grph2/ucpack/
H A Ducyayr.f12 REAL UY(N) local
30 UY(NN)=0
42 UY(NN)=I
59 CALL UYPTMK(CSIDE,2,UY,NN)
64 CALL UYPLBL(CSIDE,2,UY,CH,4,NN)
H A Ducyamn.f12 REAL UY(N) local
37 UY(NN)=0
49 UY(NN)=I
67 CALL UYPTMK(CSIDE,2,UY,NN)
70 CALL UYPLBL(CSIDE,2,UY,CH,9,NN)
/dports/math/optpp/optpp-2.4/newmat11/
H A Dtmta.cpp48 UpperTriangularMatrix UY = U.i() * UX; in trymata() local
49 { X=UX; MU=U; Y=UY-MU.i()*X; Clean(Y,0.000000001); Print(Y); } in trymata()
54 { UY=U; UY=D.i()*UY; Y=UY-X; Clean(Y,0.00000001); Print(Y); } in trymata()
55 { UY=D.i()*U; Y=UY-X; Clean(Y,0.00000001); Print(Y); } in trymata()
/dports/astro/astrometry/astrometry.net-0.85/util/
H A Dsip_plot_distortion.py30 UX,UY = [],[]
40 UY.append(uy)
44 UY = np.array(UY)
51 plot(UX, UY, 'b-', alpha=0.5)
60 UX,UY = [],[]
67 UY.append(uy)
78 plot(UX, UY, 'b-', alpha=0.5)
/dports/math/newmat/newmat-1.1_1/
H A Dtmta.cpp54 UpperTriangularMatrix UY = U.i() * UX; in trymata() local
55 { X=UX; MU=U; Y=UY-MU.i()*X; Clean(Y,0.000000001); Print(Y); } in trymata()
60 { UY=U; UY=D.i()*UY; Y=UY-X; Clean(Y,0.00000001); Print(Y); } in trymata()
61 { UY=D.i()*U; Y=UY-X; Clean(Y,0.00000001); Print(Y); } in trymata()

12345678910>>...66