Home
last modified time | relevance | path

Searched refs:VisualElement (Results 1 – 25 of 75) sorted by relevance

123

/dports/cad/digital/Digital-0.27/src/test/java/de/neemann/digital/gui/components/
H A DCopiedElementLabelRenamerTest.java44 final VisualElement in = new VisualElement("In").setAttribute(Keys.LABEL, "I_1"); in testRenameSimple()
47 list.add(new VisualElement(in)); in testRenameSimple()
55 final VisualElement in1 = new VisualElement("In").setAttribute(Keys.LABEL, "I_1"); in testRenameTwo()
56 final VisualElement in2 = new VisualElement("In").setAttribute(Keys.LABEL, "I_2"); in testRenameTwo()
59 list.add(new VisualElement(in2)); in testRenameTwo()
60 list.add(new VisualElement(in1)); in testRenameTwo()
70 list.add(new VisualElement(in)); in testRenameWithPinNumber()
76 final VisualElement in = new VisualElement("In").setAttribute(Keys.LABEL, "In"); in testRenameIgnore()
79 list.add(new VisualElement(in)); in testRenameIgnore()
85 final VisualElement in = new VisualElement("In").setAttribute(Keys.LABEL, "In_1"); in testRenameNotInCircuit()
[all …]
/dports/cad/digital/Digital-0.27/src/test/java/de/neemann/digital/draw/shapes/custom/
H A DCustomShapeDescriptionTest.java14 import de.neemann.digital.draw.elements.VisualElement;
40 … .add(new VisualElement(In.DESCRIPTION.getName()).setPos(vec(0, 0)).setAttribute(Keys.LABEL, "in")) in testCheckCompatibilityOk()
41 ….add(new VisualElement(Out.DESCRIPTION.getName()).setPos(vec(20, 0)).setAttribute(Keys.LABEL, "out… in testCheckCompatibilityOk()
49 ….add(new VisualElement(Clock.DESCRIPTION.getName()).setPos(vec(0, 0)).setAttribute(Keys.LABEL, "in… in testCheckCompatibilityClock()
50 ….add(new VisualElement(Out.DESCRIPTION.getName()).setPos(vec(20, 0)).setAttribute(Keys.LABEL, "out… in testCheckCompatibilityClock()
58 … .add(new VisualElement(In.DESCRIPTION.getName()).setPos(vec(0, 0)).setAttribute(Keys.LABEL, "in")) in testCheckCompatibilityInPinMissing()
59 ….add(new VisualElement(In.DESCRIPTION.getName()).setPos(vec(0, 20)).setAttribute(Keys.LABEL, "in2"… in testCheckCompatibilityInPinMissing()
60 ….add(new VisualElement(Out.DESCRIPTION.getName()).setPos(vec(20, 0)).setAttribute(Keys.LABEL, "out… in testCheckCompatibilityInPinMissing()
72 … .add(new VisualElement(In.DESCRIPTION.getName()).setPos(vec(0, 0)).setAttribute(Keys.LABEL, "in")) in testCheckCompatibilityOutPinMissing()
73 ….add(new VisualElement(Out.DESCRIPTION.getName()).setPos(vec(20, 0)).setAttribute(Keys.LABEL, "out… in testCheckCompatibilityOutPinMissing()
[all …]
/dports/cad/digital/Digital-0.27/src/main/java/de/neemann/digital/draw/elements/
H A DCircuit.java279 for (VisualElement p : visualElements) { in drawTo()
357 for (VisualElement v : visualElements) in getElements()
417 public VisualElement getVisualElement() { in getVisualElement()
452 for (VisualElement vp : visualElements) in getElementsToMove()
477 for (VisualElement vp : visualElements) in getElementsToHighlight()
503 for (VisualElement vp : visualElements) in copyElementsToMove()
529 for (VisualElement vp : visualElements) in copyElementsInRect()
531 final VisualElement ve = new VisualElement(vp).setShapeFactory(shapeFactory); in copyElementsInRect()
656 VisualElement el = getElementAt(pos); in isPinPos()
708 for (VisualElement vp : visualElements) in clearState()
[all …]
H A DElementOrder.java34 ArrayList<VisualElement> elements = circuitComponent.getCircuit().getElements(); in ElementOrder()
96 boolean accept(VisualElement element); in accept()
112 ArrayList<VisualElement> elements = circuit.getElements(); in modify()
113 VisualElement y = elements.get(index1); in modify()
H A DVisualElement.java28 public class VisualElement implements Drawable, Movable, AttributeListener { class
53 public VisualElement(String elementName) { in VisualElement() method in VisualElement
64 public VisualElement(VisualElement proto) { in VisualElement() method in VisualElement
91 public <V> VisualElement setAttribute(Key<V> key, V val) { in setAttribute()
133 public VisualElement setPos(Vector pos) { in setPos()
417 public VisualElement setShapeFactory(ShapeFactory shapeFactory) { in setShapeFactory()
448 public VisualElement setRotation(int rotation) { in setRotation()
/dports/textproc/uncrustify/uncrustify-uncrustify-0.74.0/tests/input/cs/
H A DUNI-18437.cs3 return typeof(VisualElement).Assembly.GetTypes() in GetAllVisualElementTypes()
4 .Where(t => t != typeof(VisualElement) && in GetAllVisualElementTypes()
9 typeof(VisualElement).IsAssignableFrom(t)).ToArray(); in GetAllVisualElementTypes()
15 return typeof(VisualElement).Assembly.GetTypes() in GetAllVisualElementAssetTypes()
16 .Where(t => t != typeof(VisualElement) && in GetAllVisualElementAssetTypes()
21 typeof(VisualElement).IsAssignableFrom(t)).ToArray(); in GetAllVisualElementAssetTypes()
/dports/textproc/uncrustify/uncrustify-uncrustify-0.74.0/tests/expected/cs/
H A D60023-UNI-18437.cs3 return typeof(VisualElement).Assembly.GetTypes() in GetAllVisualElementTypes()
4 .Where(t => t != typeof(VisualElement) && in GetAllVisualElementTypes()
9 typeof(VisualElement).IsAssignableFrom(t)).ToArray(); in GetAllVisualElementTypes()
15 return typeof(VisualElement).Assembly.GetTypes() in GetAllVisualElementAssetTypes()
16 .Where(t => t != typeof(VisualElement) && in GetAllVisualElementAssetTypes()
21 typeof(VisualElement).IsAssignableFrom(t)).ToArray(); in GetAllVisualElementAssetTypes()
/dports/cad/digital/Digital-0.27/src/main/java/de/neemann/digital/gui/components/modification/
H A DModifyInsertElement.java9 import de.neemann.digital.draw.elements.VisualElement;
19 private final VisualElement element;
26 public ModifyInsertElement(VisualElement element) { in ModifyInsertElement()
27 this.element = new VisualElement(element); in ModifyInsertElement()
32 circuit.add(new VisualElement(element)); in modify()
H A DModificationOfVisualElement.java10 import de.neemann.digital.draw.elements.VisualElement;
32 ModificationOfVisualElement(VisualElement ve, String description) { in ModificationOfVisualElement()
44 public static String getToolTipName(VisualElement ve) { in getToolTipName()
69 public VisualElement getVisualElement(Circuit circuit) throws ModifyException { in getVisualElement()
70 for (VisualElement ve : circuit.getElements()) { in getVisualElement()
H A DModifyAttributes.java10 import de.neemann.digital.draw.elements.VisualElement;
27 public ModifyAttributes(VisualElement ve, ElementAttributes modified) { in ModifyAttributes()
34 VisualElement ve = getVisualElement(circuit); in modify()
H A DModifyMoveAndRotElement.java9 import de.neemann.digital.draw.elements.VisualElement;
28 public ModifyMoveAndRotElement(VisualElement ve, Vector pos, int rotation) { in ModifyMoveAndRotElement()
36 VisualElement ve = getVisualElement(circuit); in modify()
H A DModifyAttribute.java10 import de.neemann.digital.draw.elements.VisualElement;
31 public ModifyAttribute(VisualElement ve, Key<VALUE> key, VALUE value) { in ModifyAttribute()
39 VisualElement ve = getVisualElement(circuit); in modify()
H A DModifyMoveSelected.java10 import de.neemann.digital.draw.elements.VisualElement;
82 if (m instanceof VisualElement) { in rotateElements()
83 VisualElement ve = (VisualElement) m; in rotateElements()
/dports/cad/digital/Digital-0.27/src/test/java/de/neemann/digital/draw/elements/
H A DCircuitTest.java31 final VisualElement rom = new VisualElement(ROM.DESCRIPTION.getName()) in createCircuit()
36 final VisualElement in = new VisualElement(In.DESCRIPTION.getName()) in createCircuit()
50 VisualElement rom = c.getElements().get(0); in test64Bit()
56 VisualElement in = c.getElements().get(1); in test64Bit()
/dports/cad/digital/Digital-0.27/src/main/java/de/neemann/digital/gui/components/
H A DCopiedElementLabelRenamer.java11 import de.neemann.digital.draw.elements.VisualElement;
38 for (VisualElement ve : circuit.getElements()) { in CopiedElementLabelRenamer()
48 if (m instanceof VisualElement) { in CopiedElementLabelRenamer()
49 LabelInstance li = LabelInstance.create((VisualElement) m); in CopiedElementLabelRenamer()
62 if (m instanceof VisualElement) { in CopiedElementLabelRenamer()
63 final VisualElement ve = (VisualElement) m; in CopiedElementLabelRenamer()
97 static LabelInstance create(VisualElement ve) { in create()
H A DCircuitComponent.java346 copiedElements.add(new VisualElement((VisualElement) m)); in createAdditionalShortcuts()
357 VisualElement tunnel = in createAdditionalShortcuts()
1381 VisualElement ve = null;
1669 VisualElement vp = null;
1685 private VisualElement pressedElement;
1757 private VisualElement element;
1838 for (VisualElement ve : el) {
1869 private VisualElement visualElement;
2543 private VisualElement draggedElement;
2601 private VisualElement element;
[all …]
H A DCircuitTransferable.java12 import de.neemann.digital.draw.elements.VisualElement;
85 if (m instanceof VisualElement) in createList()
86 ((VisualElement) m).setShapeFactory(shapeFactory); in createList()
/dports/cad/digital/Digital-0.27/src/main/java/de/neemann/digital/draw/library/
H A DResolveGenerics.java14 import de.neemann.digital.draw.elements.VisualElement;
130 ArrayList<VisualElement> newComponents = new ArrayList<>(); in createResolvedCircuit()
134 for (VisualElement ve : c.getElements()) in createResolvedCircuit()
140 for (VisualElement ve : c.getElements()) in createResolvedCircuit()
145 for (VisualElement ve : newComponents) in createResolvedCircuit()
273 for (VisualElement gic : circuit.getElements(v -> in cleanupConcreteCircuit()
277 for (VisualElement v : circuit.getElements()) { in cleanupConcreteCircuit()
395 private final VisualElement ve;
397 private SetCircuitFunc(VisualElement ve) { in SetCircuitFunc()
439 private final ArrayList<VisualElement> newComponents;
[all …]
H A DCustomElement.java14 import de.neemann.digital.draw.elements.VisualElement;
47 …elCreator getModelCreator(String subName, int depth, VisualElement errorVisualElement, VisualEleme… in getModelCreator()
/dports/cad/digital/Digital-0.27/src/main/java/de/neemann/digital/draw/model/
H A DModelEntry.java34 … private final VisualElement containingVisualElement; // Only used to create better error messages
35 private final VisualElement visualElement;
49 …t element, Pins pins, VisualElement visualElement, PinDescriptions inputNames, boolean isNestedEle… in ModelEntry()
142 public VisualElement getVisualElement() { in getVisualElement()
156 public VisualElement getContainingVisualElement() { in getContainingVisualElement()
/dports/cad/digital/Digital-0.27/src/main/java/de/neemann/digital/core/
H A DExceptionWithOrigin.java8 import de.neemann.digital.draw.elements.VisualElement;
19 private VisualElement visualElement;
101 public ExceptionWithOrigin setVisualElement(VisualElement visualElement) { in setVisualElement()
109 public VisualElement getVisualElement() { in getVisualElement()
/dports/cad/digital/Digital-0.27/src/main/java/de/neemann/digital/builder/circuit/
H A DCircuitBuilder.java29 import de.neemann.digital.draw.elements.VisualElement;
385 VisualElement visualElement; in createInputBus()
543 circuit.add(new VisualElement(In.DESCRIPTION.getName()) in checkForInputBus()
633 circuit.add(new VisualElement(Splitter.DESCRIPTION.getName()) in createStateVar()
638 circuit.add(new VisualElement(Probe.DESCRIPTION.getName()) in createStateVar()
649 circuit.add(new VisualElement(Tunnel.DESCRIPTION.getName()) in createStateVar()
707 VisualElement clock = new VisualElement(Clock.DESCRIPTION.getName()) in addClockToFlipFlops()
726VisualElement t = new VisualElement(Tunnel.DESCRIPTION.getName()).setShapeFactory(shapeFactory); in addNetConnections()
731VisualElement o = new VisualElement(Out.DESCRIPTION.getName()).setShapeFactory(shapeFactory); in addNetConnections()
743 private void checkPinNumber(VisualElement pin) { in checkPinNumber()
[all …]
H A DFragmentVisualElement.java17 import de.neemann.digital.draw.elements.VisualElement;
34 private VisualElement visualElement;
55 visualElement = new VisualElement(description.getName()).setShapeFactory(shapeFactory); in FragmentVisualElement()
140 public VisualElement getVisualElement() { in getVisualElement()
149 public void setVisualElement(VisualElement visualElement) { in setVisualElement()
/dports/cad/digital/Digital-0.27/src/test/java/de/neemann/digital/integration/
H A DTestExamples.java13 import de.neemann.digital.draw.elements.VisualElement;
122 …List<VisualElement> initCodeList = circuit.getElements(v -> v.equalsDescription(GenericInitCode.DE… in checkGeneric()
125 VisualElement element = initCodeList.get(0); in checkGeneric()
132 for (VisualElement ve : concreteCircuit.getElements()) { in checkGeneric()
/dports/cad/digital/Digital-0.27/src/test/java/de/neemann/digital/builder/circuit/
H A DCircuitBuilderTest.java27 import de.neemann.digital.draw.elements.VisualElement;
114 final ArrayList<VisualElement> el = circuit.getElements(); in testBuilderSequentialLUT()
186 final ArrayList<VisualElement> el = circuit.getElements(); in testBuilderSequentialConstant()
201 final ArrayList<VisualElement> el = circuit.getElements(); in testBuilderSequentialConstantJK()
227 List<VisualElement> in = circuit.getElements(v -> v.equalsDescription(In.DESCRIPTION)); in testBus()
232 List<VisualElement> out = circuit.getElements(v -> v.equalsDescription(Out.DESCRIPTION)); in testBus()
238 private void checkPin(VisualElement e, String label, String pins) { in checkPin()
313 List<VisualElement> outs = circuit.getElements(v -> v.equalsDescription(Out.DESCRIPTION)); in testLocalVar()
316 … List<VisualElement> tunnels = circuit.getElements(v -> v.equalsDescription(Tunnel.DESCRIPTION)); in testLocalVar()

123