Home
last modified time | relevance | path

Searched refs:XG_CLK_n (Results 1 – 3 of 3) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/x300/
H A Dx300_aurora.xdc6 set_property PACKAGE_PIN R7 [get_ports XG_CLK_n]
H A Dx300_10ge.xdc6 set_property PACKAGE_PIN R7 [get_ports XG_CLK_n]
H A Dx300.v48 input XG_CLK_p, input XG_CLK_n, port
881 .refclk_n(XG_CLK_n),
900 .refclk_n(XG_CLK_n),