Home
last modified time | relevance | path

Searched refs:_histogram (Results 1 – 25 of 213) sorted by relevance

123456789

/dports/science/kst2/kst-plot-38eddb5322a1d557f9d86ea95d456d76272941e3/src/libkstmath/
H A Ddataobjectscriptinterface.cpp472 _histogram = histogram; in HistogramSI()
475 _histogram = 0; in HistogramSI()
492 return _histogram; in isValid()
496 if (_histogram) { in endEditUpdate()
536 if (_histogram) { in change()
543 _histogram->change(vector, in change()
558 if (_histogram) { in xMin()
566 if (_histogram) { in xMax()
574 if (_histogram) { in nBins()
582 if (_histogram) { in normalizationType()
[all …]
/dports/sysutils/qdirstat/qdirstat-1.8/src/
H A DBucketsTableModel.cpp21 _histogram( histogram ) in BucketsTableModel()
42 return parent.isValid() ? 0 : _histogram->bucketCount(); in rowCount()
65 if ( row < 0 || row >= _histogram->bucketCount() ) in data()
72 case StartCol: result = formatSize( _histogram->bucketStart( row ) ); break; in data()
73 case EndCol: result = formatSize( _histogram->bucketEnd ( row ) ); break; in data()
74 case ValueCol: result = QString::number( _histogram->bucket( row ) ); break; in data()
118 if ( section < _histogram->bucketCount() ) in headerData()
H A DBucketsTableModel.h50 HistogramView * histogram() const { return _histogram; } in histogram()
93 HistogramView * _histogram;
/dports/biology/canu/canu-2.2/src/utility/src/utility/
H A Dstddev.H323 _histogram = new uint64 [_histogramAlloc]; in histogramStatistics()
332 delete [] _histogram; in ~histogramStatistics()
342 _histogram[data] += count;
386 _numObjs += _histogram[ii]; in finalizeData()
391 _mean += ii * _histogram[ii]; in finalizeData()
405 if (_histogram[ii] > _histogram[_mode]) in finalizeData()
431 if (_histogram[ii] > 0) { in finalizeData()
441 maddata[deviation] += _histogram[ii]; in finalizeData()
462 return(_histogram[ii]); in histogram()
472 fprintf(F, F_U64"\t" F_U64 "\n", ii, _histogram[ii]); in writeHistogram()
[all …]
/dports/biology/infernal/infernal-1.1.3/easel/
H A Desl_histogram.tex83 \ccode{esl\_histogram\_Add()}.
92 \ccode{esl\_histogram\_SetExpect()} and providing pointers
99 \item The \ccode{esl\_histogram\_Goodness()} function compares the
111 For example, the call \ccode{esl\_histogram\_Create(-10, 10, 0.5)}
117 offset of the bins. That is, \ccode{esl\_histogram\_Create(-10, 10,
119 \ccode{esl\_histogram\_Create(-10.1, 9.9, 0.5)} makes the first bin
138 \ccode{esl\_histogram\_CreateFull()} instead of
139 \ccode{esl\_histogram\_Create()}. (The example code above did this,
143 \ccode{esl\_histogram\_Get*} functions.
276 \item The \ccode{esl\_histogram\_Create(-100, 100, 1.0)} call
[all …]
/dports/biology/hmmer/hmmer-3.3/easel/
H A Desl_histogram.tex83 \ccode{esl\_histogram\_Add()}.
92 \ccode{esl\_histogram\_SetExpect()} and providing pointers
99 \item The \ccode{esl\_histogram\_Goodness()} function compares the
111 For example, the call \ccode{esl\_histogram\_Create(-10, 10, 0.5)}
117 offset of the bins. That is, \ccode{esl\_histogram\_Create(-10, 10,
119 \ccode{esl\_histogram\_Create(-10.1, 9.9, 0.5)} makes the first bin
138 \ccode{esl\_histogram\_CreateFull()} instead of
139 \ccode{esl\_histogram\_Create()}. (The example code above did this,
143 \ccode{esl\_histogram\_Get*} functions.
276 \item The \ccode{esl\_histogram\_Create(-100, 100, 1.0)} call
[all …]
/dports/biology/canu/canu-2.2/src/stores/
H A DovStoreFile.C82 if ((_isOutput) && (_histogram)) in ~ovFile()
83 _histogram->saveHistogram(_prefix); in ~ovFile()
90 delete _histogram; in ~ovFile() local
106 _histogram = NULL; in construct()
164 _histogram = new ovStoreHistogram(_seq); in construct()
262 if (_histogram) in writeOverlap()
263 _histogram->addOverlap(overlap); in writeOverlap()
301 if (_histogram) in writeOverlaps()
302 _histogram->addOverlap(overlaps + oo); in writeOverlaps()
484 delete _histogram; in removeHistogram() local
[all …]
H A DovStoreWriter.C52 _histogram = new ovStoreHistogram(_seq); // Only used for merging in results from output files. in ovStoreWriter()
68 _histogram->mergeHistogram(_bof->getHistogram()); in ~ovStoreWriter()
76 _histogram->saveHistogram(_storePath); in ~ovStoreWriter()
78 delete _histogram; in ~ovStoreWriter() local
110 _histogram->mergeHistogram(_bof->getHistogram()); in writeOverlap()
/dports/devel/py-yunomi/yunomi-0.3.0/yunomi/tests/
H A Dtest_metrics_registry.py75 _histogram = histogram("test_calls")
76 snapshot = _histogram.get_snapshot()
77 self.assertAlmostEqual(_histogram.get_mean(), 5.5)
78 self.assertEqual(_histogram.get_max(), 10)
79 self.assertEqual(_histogram.get_min(), 1)
80 self.assertAlmostEqual(_histogram.get_std_dev(), 3.02765, places=5)
81 self.assertAlmostEqual(_histogram.get_variance(), 9.16667, places=5)
/dports/science/py-paida/paida-3.2.1_2.10.1/paida/paida_core/
H A DICloud1D.py21 self._histogram.fill(x, weight)
48 self._histogram.fill(x, weight)
94 self._histogram = IHistogram1D(self._convertedName(), self.title(), binEdges, False)
99 self._histogram = self._getRawHistogram(nBinsX, lowerEdgeX, upperEdgeX)
103 self.fillHistogram(self._histogram)
132 return self._histogram
H A DICloud2D.py22 self._histogram.fill(x, y, weight)
56 self._histogram.fill(x, y, weight)
130 self._histogram = IHistogram2D(self._convertedName(), self.title(), binEdges, False)
138 …self._histogram = self._getRawHistogram(nBinsX, lowerEdgeX, upperEdgeX, nBinsY, lowerEdgeY, upperE…
142 self.fillHistogram(self._histogram)
181 return self._histogram
H A DICloud3D.py23 self._histogram.fill(x, y, z, weight)
64 self._histogram.fill(x, y, z, weight)
166 self._histogram = IHistogram3D(self._convertedName(), self.title(), binEdges, False)
177 …self._histogram = self._getRawHistogram(nBinsX, lowerEdgeX, upperEdgeX, nBinsY, lowerEdgeY, upperE…
181 self.fillHistogram(self._histogram)
230 return self._histogram
H A DICloud.py13 self._histogram = None
25 self._histogram = None
/dports/devel/grpc130/grpc-1.30.2/src/python/grpcio_tests/tests/stress/
H A Dmetrics_server.py28 self._histogram = histogram
31 count = self._histogram.get_data().count
33 self._histogram.reset()
H A Dtest_runner.py43 self._histogram = hist
52 self._histogram.add((end_time - start_time) * 1e9)
/dports/devel/grpc134/grpc-1.34.1/src/python/grpcio_tests/tests/stress/
H A Dmetrics_server.py28 self._histogram = histogram
31 count = self._histogram.get_data().count
33 self._histogram.reset()
H A Dtest_runner.py43 self._histogram = hist
52 self._histogram.add((end_time - start_time) * 1e9)
/dports/devel/grpc/grpc-1.42.0/src/python/grpcio_tests/tests/stress/
H A Dmetrics_server.py28 self._histogram = histogram
31 count = self._histogram.get_data().count
33 self._histogram.reset()
H A Dtest_runner.py43 self._histogram = hist
52 self._histogram.add((end_time - start_time) * 1e9)
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/grpc/src/src/python/grpcio_tests/tests/stress/
H A Dmetrics_server.py28 self._histogram = histogram
31 count = self._histogram.get_data().count
33 self._histogram.reset()
H A Dtest_runner.py43 self._histogram = hist
52 self._histogram.add((end_time - start_time) * 1e9)
/dports/graphics/osg/OpenSceneGraph-OpenSceneGraph-3.6.5/examples/osgtransferfunction/
H A Dosgtransferfunction.cpp68 _histogram(valueMap) {} in PopulateHistogram()
79 Histogram::ValueMap& _histogram; member
83 _histogram[v]+=1.0; in update()
89 for(Histogram::ValueMap::iterator itr = _histogram.begin(); in normalize()
90 itr != _histogram.end(); in normalize()
96 for(Histogram::ValueMap::iterator itr = _histogram.begin(); in normalize()
97 itr != _histogram.end(); in normalize()
117 for(Histogram::ValueMap::iterator itr = populateHistogram._histogram.begin(); in analyse()
118 itr != populateHistogram._histogram.end(); in analyse()
/dports/graphics/mahotas/mahotas-1.4.11/mahotas/
H A Dhistogram.py34 from . import _histogram
70 _histogram.histogram(img, histogram)
H A Dthresholding.py47 from . import _histogram
100 return _histogram.otsu(hist)
/dports/graphics/osg34/OpenSceneGraph-OpenSceneGraph-3.4.1/examples/osgtransferfunction/
H A Dosgtransferfunction.cpp68 _histogram(valueMap) {} in PopulateHistogram()
79 Histogram::ValueMap& _histogram; member
83 _histogram[v]+=1.0; in update()
89 for(Histogram::ValueMap::iterator itr = _histogram.begin(); in normalize()
90 itr != _histogram.end(); in normalize()
96 for(Histogram::ValueMap::iterator itr = _histogram.begin(); in normalize()
97 itr != _histogram.end(); in normalize()
117 for(Histogram::ValueMap::iterator itr = populateHistogram._histogram.begin(); in analyse()
118 itr != populateHistogram._histogram.end(); in analyse()

123456789