Home
last modified time | relevance | path

Searched refs:add_timeout (Results 1 – 25 of 465) sorted by relevance

12345678910>>...19

/dports/www/py-tornado4/tornado-4.5.3/tornado/test/
H A Dtesting_test.py42 self.io_loop.add_timeout(time() + 0.01, self.stop)
46 self.io_loop.add_timeout(time() + 1, self.stop)
51 self.io_loop.add_timeout(time() + 1, self.stop)
61 self.io_loop.add_timeout(self.io_loop.time() + 0.00, self.stop)
63 self.io_loop.add_timeout(self.io_loop.time() + 0.03, self.stop)
182 yield gen.Task(self.io_loop.add_timeout, self.io_loop.time() + 1)
203 yield gen.Task(self.io_loop.add_timeout, time() + 0.1)
212 yield gen.Task(self.io_loop.add_timeout, time() + 0.25)
224 yield gen.Task(self.io_loop.add_timeout, time() + 1)
H A Dioloop_test.py80 loop.add_timeout(datetime.timedelta(milliseconds=50), loop.stop)
97 self.io_loop.add_timeout(self.io_loop.time(), schedule_callback)
207 self.io_loop.add_timeout(self.io_loop.time() + 0.01,
217 handle = self.io_loop.add_timeout(self.io_loop.time(), self.stop)
228 timeout = self.io_loop.add_timeout(self.io_loop.time() + 3600,
246 self.io_loop.add_timeout(now + 0.01, t1)
250 t2_handle = self.io_loop.add_timeout(now + 0.02, t2)
251 self.io_loop.add_timeout(now + 0.03, self.stop)
259 self.io_loop.add_timeout(self.io_loop.time(), results.append, 1)
260 self.io_loop.add_timeout(datetime.timedelta(seconds=0),
[all …]
/dports/sysutils/py-salt/salt-3004.1/salt/ext/tornado/test/
H A Dtesting_test.py43 self.io_loop.add_timeout(time() + 0.01, self.stop)
47 self.io_loop.add_timeout(time() + 1, self.stop)
52 self.io_loop.add_timeout(time() + 1, self.stop)
62 self.io_loop.add_timeout(self.io_loop.time() + 0.00, self.stop)
64 self.io_loop.add_timeout(self.io_loop.time() + 0.03, self.stop)
183 yield gen.Task(self.io_loop.add_timeout, self.io_loop.time() + 1)
204 yield gen.Task(self.io_loop.add_timeout, time() + 0.1)
213 yield gen.Task(self.io_loop.add_timeout, time() + 0.25)
225 yield gen.Task(self.io_loop.add_timeout, time() + 1)
H A Dioloop_test.py81 loop.add_timeout(datetime.timedelta(milliseconds=50), loop.stop)
98 self.io_loop.add_timeout(self.io_loop.time(), schedule_callback)
208 self.io_loop.add_timeout(self.io_loop.time() + 0.01,
218 handle = self.io_loop.add_timeout(self.io_loop.time(), self.stop)
229 timeout = self.io_loop.add_timeout(self.io_loop.time() + 3600,
247 self.io_loop.add_timeout(now + 0.01, t1)
251 t2_handle = self.io_loop.add_timeout(now + 0.02, t2)
252 self.io_loop.add_timeout(now + 0.03, self.stop)
260 self.io_loop.add_timeout(self.io_loop.time(), results.append, 1)
261 self.io_loop.add_timeout(datetime.timedelta(seconds=0),
[all …]
/dports/games/abstreet/abstreet-0.2.9-49-g74aca40c0/cargo-crates/calloop-0.4.4/src/sources/
H A Dtimer.rs92 pub fn add_timeout(&self, delay_from_now: Duration, data: T) -> Timeout { in add_timeout() method
205 timer.handle().add_timeout(Duration::from_millis(300), ()); in single_timer()
237 timer.handle().add_timeout(Duration::from_millis(300), 1); in multi_timout_order()
238 timer.handle().add_timeout(Duration::from_millis(100), 2); in multi_timout_order()
239 timer.handle().add_timeout(Duration::from_millis(600), 3); in multi_timout_order()
277 let timeout1 = timer.handle().add_timeout(Duration::from_millis(300), 1); in timer_cancel()
278 let timeout2 = timer.handle().add_timeout(Duration::from_millis(100), 2); in timer_cancel()
279 let timeout3 = timer.handle().add_timeout(Duration::from_millis(600), 3); in timer_cancel()
/dports/security/doscan/doscan-0.3.3/src/
H A Dscan.cc198 static ticks_t add_timeout = 0; in add_more_hosts() local
201 if (!hosts_active || (ticks_get_cached () > add_timeout)) { in add_more_hosts()
214 add_timeout = ticks_get_cached () + opt_add_timeout; in add_more_hosts()
215 update_timeout (add_timeout); in add_more_hosts()
218 update_timeout (add_timeout); in add_more_hosts()
/dports/devel/p5-AnyEvent/AnyEvent-7.17/lib/AnyEvent/Impl/
H A DFLTK.pm51 $id->[0] = FLTK::add_timeout $id->[1], \&timer_interval_cb, $id;
63 $id->[0] = FLTK::add_timeout $arg{after}, \&timer_interval_cb, $id;
69 return FLTK::add_timeout $arg{after}, sub { &$cb }
/dports/math/giacxcas/fltk-1.3.0/src/
H A Dforms_timer.cxx114 Fl::add_timeout(FL_TIMER_BLINKRATE, stepcb, this); in step()
120 Fl::add_timeout(FL_TIMER_BLINKRATE, stepcb, this); in step()
167 if (on) Fl::add_timeout(FL_TIMER_BLINKRATE, stepcb, this); in value()
176 if (on) Fl::add_timeout(FL_TIMER_BLINKRATE, stepcb, this); in suspended()
H A DFl_Repeat_Button.cxx36 Fl::add_timeout(REPEAT,repeat_callback,b); in repeat_callback()
56 Fl::add_timeout(INITIALREPEAT,repeat_callback,this); in handle()
/dports/x11-toolkits/fltk/fltk-1.3.8/src/
H A Dforms_timer.cxx106 Fl::add_timeout(FL_TIMER_BLINKRATE, stepcb, this); in step()
112 Fl::add_timeout(FL_TIMER_BLINKRATE, stepcb, this); in step()
159 if (on) Fl::add_timeout(FL_TIMER_BLINKRATE, stepcb, this); in value()
168 if (on) Fl::add_timeout(FL_TIMER_BLINKRATE, stepcb, this); in suspended()
H A DFl_Repeat_Button.cxx27 Fl::add_timeout(REPEAT,repeat_callback,b); in repeat_callback()
47 Fl::add_timeout(INITIALREPEAT,repeat_callback,this); in handle()
/dports/multimedia/butt/butt-0.1.27/src/
H A Dbutt.cpp498 Fl::add_timeout(0.01, &vu_meter_timer); in main()
499 Fl::add_timeout(5, &display_rotate_timer); in main()
500 Fl::add_timeout(0.25, &cmd_timer); in main()
508 Fl::add_timeout(1, &stream_signal_timer); in main()
511 Fl::add_timeout(1, &stream_silence_timer); in main()
516 Fl::add_timeout(1, &record_signal_timer); in main()
519 Fl::add_timeout(1, &record_silence_timer); in main()
H A Dvu_meter.cpp51 Fl::add_timeout(2/*second*/, &vu_left_peak_timer); in vu_meter()
57 Fl::add_timeout(2/*second*/, &vu_right_peak_timer); in vu_meter()
/dports/x11/alacritty/alacritty-0.9.0/cargo-crates/calloop-0.6.5/src/sources/
H A Dtimer.rs83 pub fn add_timeout(&self, delay_from_now: Duration, data: T) -> Timeout { in add_timeout() method
379 timer_handle.add_timeout(Duration::from_millis(300), ()); in single_timer()
412 timer_handle.add_timeout(Duration::from_millis(300), 1); in multi_timout_order()
413 timer_handle.add_timeout(Duration::from_millis(100), 2); in multi_timout_order()
414 timer_handle.add_timeout(Duration::from_millis(600), 3); in multi_timout_order()
453 let timeout1 = timer_handle.add_timeout(Duration::from_millis(300), 1); in timer_cancel()
454 let timeout2 = timer_handle.add_timeout(Duration::from_millis(100), 2); in timer_cancel()
455 let timeout3 = timer_handle.add_timeout(Duration::from_millis(600), 3); in timer_cancel()
/dports/www/py-tornado/tornado-6.1/tornado/test/
H A Dioloop_test.py44 loop.add_timeout(datetime.timedelta(milliseconds=50), loop.stop)
62 self.io_loop.add_timeout(self.io_loop.time(), schedule_callback)
83 self.io_loop.add_timeout(datetime.timedelta(microseconds=1), self.stop)
163 self.io_loop.add_timeout(
174 handle = self.io_loop.add_timeout(self.io_loop.time(), self.stop)
203 self.io_loop.add_timeout(now + 0.01, t1)
208 t2_handle = self.io_loop.add_timeout(now + 0.02, t2)
209 self.io_loop.add_timeout(now + 0.03, self.stop)
217 self.io_loop.add_timeout(self.io_loop.time(), results.append, 1)
218 self.io_loop.add_timeout(datetime.timedelta(seconds=0), results.append, 2)
[all …]
H A Dtesting_test.py35 self.io_loop.add_timeout(time() + 0.01, self.stop)
39 self.io_loop.add_timeout(time() + 1, self.stop)
44 self.io_loop.add_timeout(time() + 1, self.stop)
55 self.io_loop.add_timeout(self.io_loop.time() + 0.00, self.stop)
59 self.io_loop.add_timeout(self.io_loop.time() + 0.2, self.stop)
/dports/net/py-pynsq/pynsq-0.9.0b1/tests/
H A Dtest_backoff.py213 assert mock_ioloop.add_timeout.called
215 timeout_args, timeout_kwargs = mock_ioloop.add_timeout.call_args
232 timeout_args, timeout_kwargs = mock_ioloop.add_timeout.call_args
295 assert mock_ioloop.add_timeout.called
297 timeout_args, timeout_kwargs = mock_ioloop.add_timeout.call_args
336 timeout_args, timeout_kwargs = mock_ioloop.add_timeout.call_args
414 assert mock_ioloop.add_timeout.called
416 timeout_args, timeout_kwargs = mock_ioloop.add_timeout.call_args
445 timeout_args, timeout_kwargs = mock_ioloop.add_timeout.call_args
/dports/mail/evolution/evolution-3.42.1/src/e-util/
H A De-table-search.c106 add_timeout (ETableSearch *ets) in add_timeout() function
202 add_timeout (ets); in e_table_search_input_character()
212 add_timeout (ets); in e_table_search_input_character()
233 add_timeout (ets); in e_table_search_backspace()
/dports/www/dillo2/dillo-3.0.5/src/
H A Dtimeout.cc25 Fl::add_timeout(t, cb, cbdata); in a_Timeout_add()
33 Fl::add_timeout(t, cb, cbdata); in a_Timeout_repeat()
/dports/x11/virtualgl/virtualgl-3.0/server/fltk/src/
H A DFl_Repeat_Button.cxx36 Fl::add_timeout(REPEAT,repeat_callback,b); in repeat_callback()
56 Fl::add_timeout(INITIALREPEAT,repeat_callback,this); in handle()
/dports/x11-toolkits/ntk/ntk/src/
H A DFl_Repeat_Button.cxx36 Fl::add_timeout(REPEAT,repeat_callback,b); in repeat_callback()
56 Fl::add_timeout(INITIALREPEAT,repeat_callback,this); in handle()
/dports/x11-toolkits/py-fltk/pyFltk-1.3.4.1/fltk/test/
H A Dtimeout.py59 Fl.add_timeout( 2.0, timeoutCallback, "'this is the time-out data'")
60 Fl.add_timeout( 3.0, timeoutCallbackNoData)
/dports/misc/py-qt5-demo/PyQt5-5.15.4/dbus/
H A Ddbus.cpp135 extern "C" {static dbus_bool_t add_timeout(DBusTimeout *timeout, void *data);}
136 static dbus_bool_t add_timeout(DBusTimeout *timeout, void *data) in add_timeout() function
185 add_timeout(timeout, data); in toggle_timeout()
222 else if (!dbus_connection_set_timeout_functions(conn, add_timeout, in dbus_qt_conn()
247 else if (!dbus_server_set_timeout_functions(srv, add_timeout, in dbus_qt_srv()
/dports/devel/py-qt5-dbussupport/PyQt5-5.15.4/dbus/
H A Ddbus.cpp135 extern "C" {static dbus_bool_t add_timeout(DBusTimeout *timeout, void *data);}
136 static dbus_bool_t add_timeout(DBusTimeout *timeout, void *data) in add_timeout() function
185 add_timeout(timeout, data); in toggle_timeout()
222 else if (!dbus_connection_set_timeout_functions(conn, add_timeout, in dbus_qt_conn()
247 else if (!dbus_server_set_timeout_functions(srv, add_timeout, in dbus_qt_srv()
/dports/multimedia/nordlicht/nordlicht-0.4.5/utils/
H A Dmpv-nordlicht.lua73 mp.add_timeout(0.5, on)
121 seek_off_timer = mp.add_timeout(1, off)
161 mp.add_timeout(0.1, maybeinit)

12345678910>>...19