Home
last modified time | relevance | path

Searched refs:addr_b (Results 1 – 25 of 406) sorted by relevance

12345678910>>...17

/dports/net/wireshark/wireshark-3.6.1/epan/ftypes/
H A Dftype-ipv4.c125 guint32 addr_a, addr_b, nmask; in cmp_eq() local
130 return (addr_a == addr_b); in cmp_eq()
136 guint32 addr_a, addr_b, nmask; in cmp_ne() local
141 return (addr_a != addr_b); in cmp_ne()
147 guint32 addr_a, addr_b, nmask; in cmp_gt() local
152 return (addr_a > addr_b); in cmp_gt()
158 guint32 addr_a, addr_b, nmask; in cmp_ge() local
163 return (addr_a >= addr_b); in cmp_ge()
174 return (addr_a < addr_b); in cmp_lt()
185 return (addr_a <= addr_b); in cmp_le()
[all …]
/dports/net/wireshark-lite/wireshark-3.6.1/epan/ftypes/
H A Dftype-ipv4.c125 guint32 addr_a, addr_b, nmask; in cmp_eq() local
130 return (addr_a == addr_b); in cmp_eq()
136 guint32 addr_a, addr_b, nmask; in cmp_ne() local
141 return (addr_a != addr_b); in cmp_ne()
147 guint32 addr_a, addr_b, nmask; in cmp_gt() local
152 return (addr_a > addr_b); in cmp_gt()
158 guint32 addr_a, addr_b, nmask; in cmp_ge() local
163 return (addr_a >= addr_b); in cmp_ge()
174 return (addr_a < addr_b); in cmp_lt()
185 return (addr_a <= addr_b); in cmp_le()
[all …]
/dports/net/tshark-lite/wireshark-3.6.1/epan/ftypes/
H A Dftype-ipv4.c125 guint32 addr_a, addr_b, nmask; in cmp_eq() local
130 return (addr_a == addr_b); in cmp_eq()
136 guint32 addr_a, addr_b, nmask; in cmp_ne() local
141 return (addr_a != addr_b); in cmp_ne()
147 guint32 addr_a, addr_b, nmask; in cmp_gt() local
152 return (addr_a > addr_b); in cmp_gt()
158 guint32 addr_a, addr_b, nmask; in cmp_ge() local
163 return (addr_a >= addr_b); in cmp_ge()
174 return (addr_a < addr_b); in cmp_lt()
185 return (addr_a <= addr_b); in cmp_le()
[all …]
/dports/net/tshark/wireshark-3.6.1/epan/ftypes/
H A Dftype-ipv4.c125 guint32 addr_a, addr_b, nmask; in cmp_eq() local
130 return (addr_a == addr_b); in cmp_eq()
136 guint32 addr_a, addr_b, nmask; in cmp_ne() local
141 return (addr_a != addr_b); in cmp_ne()
147 guint32 addr_a, addr_b, nmask; in cmp_gt() local
152 return (addr_a > addr_b); in cmp_gt()
158 guint32 addr_a, addr_b, nmask; in cmp_ge() local
163 return (addr_a >= addr_b); in cmp_ge()
174 return (addr_a < addr_b); in cmp_lt()
185 return (addr_a <= addr_b); in cmp_le()
[all …]
/dports/mail/neomutt/neomutt-20211029/alias/
H A Dsort.c93 const struct Address *addr_b = TAILQ_FIRST(al_b); in alias_sort_address() local
96 if (addr_b && addr_b->personal) in alias_sort_address()
97 r = mutt_str_coll(addr_a->personal, addr_b->personal); in alias_sort_address()
101 else if (addr_b && addr_b->personal) in alias_sort_address()
103 else if (addr_a && addr_b) in alias_sort_address()
104 r = mutt_str_coll(addr_a->mailbox, addr_b->mailbox); in alias_sort_address()
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/models/
H A Didt71v65603s150.v93 addr_b; register
202 if ( ~cen_ ) addr_b[17:0] <= #regdelay {addr_a[17:2], baddr1, baddr0};
231 dout[8:0] = mem1[addr_b];
232 dout[17:9] = mem2[addr_b];
233 dout[26:18] = mem3[addr_b];
234 dout[35:27] = mem4[addr_b];
295 if (wren_b & bw_b1 & ~cen_) mem1[addr_b] = {IOP[1], IO[7:0]};
296 if (wren_b & bw_b2 & ~cen_) mem2[addr_b] = {IOP[2], IO[15:8]};
297 if (wren_b & bw_b3 & ~cen_) mem3[addr_b] = {IOP[3], IO[23:16]};
298 if (wren_b & bw_b4 & ~cen_) mem4[addr_b] = {IOP[4], IO[31:24]};
/dports/net/honeyd/honeyd-1.5c/
H A Dnetwork.c66 struct addr addr_a, addr_b; in network_compare() local
72 addr_b = b->net; in network_compare()
73 addr_b.addr_bits = IP_ADDR_BITS; in network_compare()
80 if (addr_cmp(&addr_aend, &addr_b) < 0) in network_compare()
84 if (addr_cmp(&addr_a, &addr_b) <= 0 && in network_compare()
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/issue1348/
H A Dtb_sdp_simple.vhdl20 signal addr_b : std_logic_vector(9 downto 0); signal
36 addr_b => addr_b,
54 addr_b <= b"00_0000_0000";
77 addr_b <= b"00_0000_0000";
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/sdr_lib/
H A Dhb_interp.v41 reg [3:0] addr_a, addr_b, addr_c, addr_d, addr_e; register
66 (.clk(clk),.write(stb_in),.in(data_in),.addr(addr_b),.out(data_b));
76 1 : begin addr_a = 0; addr_b = 15; end
77 2 : begin addr_a = 1; addr_b = 14; end
78 3 : begin addr_a = 2; addr_b = 13; end
79 4 : begin addr_a = 3; addr_b = 12; end
80 default : begin addr_a = 0; addr_b = 15; end
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/dsp/
H A Dhb_interp.v32 reg [3:0] addr_a, addr_b, addr_c, addr_d, addr_e; register
57 (.clk(clk),.rst(rst),.write(stb_in),.in(data_in),.addr(addr_b),.out(data_b));
67 1 : begin addr_a = 0; addr_b = 15; end
68 2 : begin addr_a = 1; addr_b = 14; end
69 3 : begin addr_a = 2; addr_b = 13; end
70 4 : begin addr_a = 3; addr_b = 12; end
71 default : begin addr_a = 0; addr_b = 15; end
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/issue1069/
H A Dtb_ram4.vhdl20 signal addr_b : std_logic_vector(ADDRWIDTH - 1 downto 0); signal
38 addr_b => addr_b,
70 addr_b <= x"001";
82 addr_b <= x"000";
H A Dtb_ram5.vhdl20 signal addr_b : std_logic_vector(ADDRWIDTH - 1 downto 0); signal
38 addr_b => addr_b,
70 addr_b <= x"001";
82 addr_b <= x"000";
H A Dtb_ram41.vhdl20 signal addr_b : std_logic_vector(ADDRWIDTH - 1 downto 0); signal
38 addr_b => addr_b,
70 addr_b <= x"001";
82 addr_b <= x"000";
H A Dtb_ram3.vhdl20 signal addr_b : std_logic_vector(ADDRWIDTH - 1 downto 0); signal
38 addr_b => addr_b,
70 addr_b <= x"001";
83 addr_b <= x"000";
H A Dtb_tdp_ram.vhdl27 signal addr_b : std_logic_vector(ADDRWIDTH_B - 1 downto 0); signal
50 addr_b => addr_b,
76 addr_b <= b"00_0000_0000";
97 addr_b <= b"00_0000_0000";
H A Dtb_tdp_ram2.vhdl27 signal addr_b : std_logic_vector(ADDRWIDTH_B - 1 downto 0); signal
50 addr_b => addr_b,
76 addr_b <= b"00_0000_0000";
97 addr_b <= b"00_0000_0000";
H A Dram41.vhdl21 addr_b : in std_logic_vector(ADDRWIDTH - 1 downto 0); port
44 data_read_b <= store(to_integer(unsigned(addr_b)));
48 store(to_integer(unsigned(addr_b))) := data_write_b;
H A Dram5.vhdl21 addr_b : in std_logic_vector(ADDRWIDTH - 1 downto 0); port
46 store(to_integer(unsigned(addr_b))) := data_write_b;
50 data_read_b <= store(to_integer(unsigned(addr_b)));
H A Dram4.vhdl21 addr_b : in std_logic_vector(ADDRWIDTH - 1 downto 0); port
46 data_read_b <= store(to_integer(unsigned(addr_b)));
50 store(to_integer(unsigned(addr_b))) := data_write_b;
/dports/net/freediameter/freeDiameter-1.5.0/contrib/wireshark/
H A Dwireshark-1.2.7-diameter-tls.patch300 frame_num, addr_a, addr_b, ptype,
309 frame_num, addr_b, addr_a, ptype,
328 + frame_num, addr_a, addr_b, ptype,
336 + frame_num, addr_b, addr_a, ptype,
345 - frame_num, addr_a, addr_b, ptype, port_a, port_b);
353 frame_num, addr_b, addr_a, ptype,
363 - frame_num, addr_b, addr_a, ptype, port_b, port_a);
372 - frame_num, addr_a, addr_b, ptype, port_a, port_b);
398 - frame_num, addr_a, addr_b, ptype, port_a, port_b);
407 - frame_num, addr_b, addr_a, ptype, port_a, port_b);
[all …]
/dports/net/wireshark-lite/wireshark-3.6.1/epan/
H A Dconversation.c952 frame_num, addr_a, addr_b, etype, in find_conversation()
960 frame_num, addr_b, addr_a, etype, in find_conversation()
971 frame_num, addr_b, addr_a, etype, in find_conversation()
997 frame_num, addr_a, addr_b, etype, port_a, port_b); in find_conversation()
1006 frame_num, addr_b, addr_a, etype, in find_conversation()
1026 conversation_set_addr2(conversation, addr_b); in find_conversation()
1052 frame_num, addr_b, addr_a, etype, port_b, port_a); in find_conversation()
1097 frame_num, addr_a, addr_b, etype, port_a, port_b); in find_conversation()
1105 frame_num, addr_b, addr_a, etype, port_a, port_b); in find_conversation()
1189 frame_num, addr_a, addr_b, etype, port_a, port_b); in find_conversation()
[all …]
/dports/net/tshark-lite/wireshark-3.6.1/epan/
H A Dconversation.c952 frame_num, addr_a, addr_b, etype, in find_conversation()
960 frame_num, addr_b, addr_a, etype, in find_conversation()
971 frame_num, addr_b, addr_a, etype, in find_conversation()
997 frame_num, addr_a, addr_b, etype, port_a, port_b); in find_conversation()
1006 frame_num, addr_b, addr_a, etype, in find_conversation()
1026 conversation_set_addr2(conversation, addr_b); in find_conversation()
1052 frame_num, addr_b, addr_a, etype, port_b, port_a); in find_conversation()
1097 frame_num, addr_a, addr_b, etype, port_a, port_b); in find_conversation()
1105 frame_num, addr_b, addr_a, etype, port_a, port_b); in find_conversation()
1189 frame_num, addr_a, addr_b, etype, port_a, port_b); in find_conversation()
[all …]
/dports/net/wireshark/wireshark-3.6.1/epan/
H A Dconversation.c952 frame_num, addr_a, addr_b, etype, in find_conversation()
960 frame_num, addr_b, addr_a, etype, in find_conversation()
971 frame_num, addr_b, addr_a, etype, in find_conversation()
997 frame_num, addr_a, addr_b, etype, port_a, port_b); in find_conversation()
1006 frame_num, addr_b, addr_a, etype, in find_conversation()
1026 conversation_set_addr2(conversation, addr_b); in find_conversation()
1052 frame_num, addr_b, addr_a, etype, port_b, port_a); in find_conversation()
1097 frame_num, addr_a, addr_b, etype, port_a, port_b); in find_conversation()
1105 frame_num, addr_b, addr_a, etype, port_a, port_b); in find_conversation()
1189 frame_num, addr_a, addr_b, etype, port_a, port_b); in find_conversation()
[all …]
/dports/net/tshark/wireshark-3.6.1/epan/
H A Dconversation.c952 frame_num, addr_a, addr_b, etype, in find_conversation()
960 frame_num, addr_b, addr_a, etype, in find_conversation()
971 frame_num, addr_b, addr_a, etype, in find_conversation()
997 frame_num, addr_a, addr_b, etype, port_a, port_b); in find_conversation()
1006 frame_num, addr_b, addr_a, etype, in find_conversation()
1026 conversation_set_addr2(conversation, addr_b); in find_conversation()
1052 frame_num, addr_b, addr_a, etype, port_b, port_a); in find_conversation()
1097 frame_num, addr_a, addr_b, etype, port_a, port_b); in find_conversation()
1105 frame_num, addr_b, addr_a, etype, port_a, port_b); in find_conversation()
1189 frame_num, addr_a, addr_b, etype, port_a, port_b); in find_conversation()
[all …]
/dports/emulators/mess/mame-mame0226/src/devices/bus/tanbus/
H A Dtanhrg.cpp237 offs_t addr_b = (m_dsw[2]->read() & 7) << 13; in read() local
247 else if ((!BIT(m_dsw[2]->read(), 5) || be) && (offset & 0xe000) == addr_b) in read()
275 offs_t addr_b = (m_dsw[2]->read() & 7) << 13; in write() local
285 else if ((!BIT(m_dsw[2]->read(), 5) || be) && (offset & 0xe000) == addr_b) in write()
311 offs_t addr_b = (m_dsw[2]->read() & 7) << 13; in set_inhibit_lines() local
313 …), 4) && (offset & 0xe000) == addr_g) || (BIT(m_dsw[2]->read(), 4) && (offset & 0xe000) == addr_b)) in set_inhibit_lines()

12345678910>>...17