Home
last modified time | relevance | path

Searched refs:alarm_set (Results 1 – 25 of 206) sorted by relevance

123456789

/dports/sysutils/nut-devel/nut-9e6a5ab2/drivers/
H A Dphoenixcontact_modbus.c101 alarm_set("End of life (Resistance)"); in upsdrv_updateinfo()
103 alarm_set("End of life (Time)"); in upsdrv_updateinfo()
105 alarm_set("End of life (Voltage)"); in upsdrv_updateinfo()
107 alarm_set("No Battery"); in upsdrv_updateinfo()
109 alarm_set("Inconsistent technology"); in upsdrv_updateinfo()
111 alarm_set("Overload Cutoff"); in upsdrv_updateinfo()
116 alarm_set("Low Battery (Voltage)"); in upsdrv_updateinfo()
118 alarm_set("Low Battery (Charge)"); in upsdrv_updateinfo()
120 alarm_set("Low Battery (Time)"); in upsdrv_updateinfo()
122 alarm_set("Low Battery (Service)"); in upsdrv_updateinfo()
H A Dnetxml-ups.c935 alarm_set("Replace battery!"); in netxml_alarm_set()
938 alarm_set("Shutdown imminent!"); in netxml_alarm_set()
941 alarm_set("Fan failure!"); in netxml_alarm_set()
944 alarm_set("No battery installed!"); in netxml_alarm_set()
953 alarm_set("Battery charger fail!"); in netxml_alarm_set()
956 alarm_set("Temperature too high!"); in netxml_alarm_set()
959 alarm_set("Communication fault!"); in netxml_alarm_set()
962 alarm_set("Internal UPS fault!"); in netxml_alarm_set()
965 alarm_set("Fuse fault!"); in netxml_alarm_set()
968 alarm_set("Automatic bypass mode!"); in netxml_alarm_set()
[all …]
H A Dusbhid-ups.c1431 alarm_set("Replace battery!"); in ups_alarm_set()
1434 alarm_set("Shutdown imminent!"); in ups_alarm_set()
1437 alarm_set("Fan failure!"); in ups_alarm_set()
1440 alarm_set("No battery installed!"); in ups_alarm_set()
1443 alarm_set("Battery voltage too low!"); in ups_alarm_set()
1446 alarm_set("Battery voltage too high!"); in ups_alarm_set()
1449 alarm_set("Battery charger fail!"); in ups_alarm_set()
1455 alarm_set("Internal UPS fault!"); /* UPS fault; Belkin, TrippLite */ in ups_alarm_set()
1461 alarm_set("Automatic bypass mode!"); in ups_alarm_set()
1464 alarm_set("Manual bypass mode!"); in ups_alarm_set()
[all …]
/dports/devel/p5-POE-Test-Loops/POE-Test-Loops-1.360/lib/POE/Test/Loops/
H A Dk_alarms.pm110 my $id_25_3 = $kernel->alarm_set( path_eleven_025_3 => 25 );
111 my $id_25_2 = $kernel->alarm_set( path_eleven_025_2 => 25 );
112 my $id_25_1 = $kernel->alarm_set( path_eleven_025_1 => 25 );
146 my $id_206 = $kernel->alarm_set( path_eleven_206 => 205 );
147 my $id_205 = $kernel->alarm_set( path_eleven_205 => 205 );
148 my $id_204 = $kernel->alarm_set( path_eleven_204 => 205 );
384 my $alarm_id = $kernel->alarm_set( test_18 => 50 => 18 );
394 $alarm_id = $kernel->alarm_set( test_19 => 52 => 19 );
402 $alarm_id = $kernel->alarm_set( test_20 => 49 => 20 );
419 $alarm_id = $kernel->alarm_set( test_21 => 50 => 21 );
[all …]
/dports/math/cadical/cadical-1.0.3-cb89cbf/src/
H A Dsignal.cpp24 static bool alarm_set = false; variable
44 if (!alarm_set) return; in reset_alarm()
48 alarm_set = false; in reset_alarm()
105 assert (!alarm_set); in alarm()
108 alarm_set = true; in alarm()
/dports/lang/erlang-runtime21/otp-OTP-21.3.8.24/lib/os_mon/test/
H A Dmemsup_SUITE.erl193 case alarm_set(system_memory_high_watermark) of
220 case alarm_set(system_memory_high_watermark) of
233 case alarm_set(system_memory_high_watermark) of
341 case alarm_set(system_memory_high_watermark) of
368 case alarm_set(system_memory_high_watermark) of
398 alarm_set(Alarm) -> function
399 alarm_set(Alarm, alarm_handler:get_alarms()).
400 alarm_set(Alarm, [{Alarm,Data}|_]) -> function
402 alarm_set(Alarm, [_|T]) -> function
403 alarm_set(Alarm, T);
[all …]
/dports/emulators/vice/vice-3.5/src/plus4/
H A Dted-timer.c69 alarm_set(ted_t1_alarm, maincpu_clk in ted_t1()
81 alarm_set(ted_t2_alarm, maincpu_clk + 65536 * 2 - offset); in ted_t2()
93 alarm_set(ted_t3_alarm, maincpu_clk + 65536 * 2 - offset); in ted_t3()
120 alarm_set(ted_t1_alarm, maincpu_clk in ted_timer_t1_store_high()
137 alarm_set(ted_t2_alarm, maincpu_clk in ted_timer_t2_store_high()
154 alarm_set(ted_t3_alarm, maincpu_clk in ted_timer_t3_store_high()
H A Dted-irq.c132 alarm_set(ted.raster_irq_alarm, ted.raster_irq_clk); in ted_irq_set_raster_line()
145 alarm_set(ted.raster_irq_alarm, ted.raster_irq_clk); in ted_irq_set_raster_line()
232 alarm_set(ted.raster_irq_alarm, ted.raster_irq_clk); in ted_irq_next_frame()
/dports/emulators/libretro-vice/vice-libretro-5725415/vice/src/plus4/
H A Dted-timer.c69 alarm_set(ted_t1_alarm, maincpu_clk in ted_t1()
81 alarm_set(ted_t2_alarm, maincpu_clk + 65536 * 2 - offset); in ted_t2()
93 alarm_set(ted_t3_alarm, maincpu_clk + 65536 * 2 - offset); in ted_t3()
120 alarm_set(ted_t1_alarm, maincpu_clk in ted_timer_t1_store_high()
137 alarm_set(ted_t2_alarm, maincpu_clk in ted_timer_t2_store_high()
154 alarm_set(ted_t3_alarm, maincpu_clk in ted_timer_t3_store_high()
H A Dted-irq.c132 alarm_set(ted.raster_irq_alarm, ted.raster_irq_clk); in ted_irq_set_raster_line()
145 alarm_set(ted.raster_irq_alarm, ted.raster_irq_clk); in ted_irq_set_raster_line()
232 alarm_set(ted.raster_irq_alarm, ted.raster_irq_clk); in ted_irq_next_frame()
/dports/lang/erlang-runtime23/otp-OTP-23.3.4.10/lib/os_mon/test/
H A Dmemsup_SUITE.erl201 case alarm_set(system_memory_high_watermark) of
228 case alarm_set(system_memory_high_watermark) of
241 case alarm_set(system_memory_high_watermark) of
349 case alarm_set(system_memory_high_watermark) of
376 case alarm_set(system_memory_high_watermark) of
406 alarm_set(Alarm) -> function
407 alarm_set(Alarm, alarm_handler:get_alarms()).
408 alarm_set(Alarm, [{Alarm,Data}|_]) -> function
410 alarm_set(Alarm, [_|T]) -> function
411 alarm_set(Alarm, T);
[all …]
/dports/lang/erlang-runtime24/otp-OTP-24.1.7/lib/os_mon/test/
H A Dmemsup_SUITE.erl198 case alarm_set(system_memory_high_watermark) of
225 case alarm_set(system_memory_high_watermark) of
238 case alarm_set(system_memory_high_watermark) of
346 case alarm_set(system_memory_high_watermark) of
373 case alarm_set(system_memory_high_watermark) of
403 alarm_set(Alarm) -> function
404 alarm_set(Alarm, alarm_handler:get_alarms()).
405 alarm_set(Alarm, [{Alarm,Data}|_]) -> function
407 alarm_set(Alarm, [_|T]) -> function
408 alarm_set(Alarm, T);
[all …]
/dports/lang/erlang-runtime22/otp-OTP-22.3.4.24/lib/os_mon/test/
H A Dmemsup_SUITE.erl201 case alarm_set(system_memory_high_watermark) of
228 case alarm_set(system_memory_high_watermark) of
241 case alarm_set(system_memory_high_watermark) of
349 case alarm_set(system_memory_high_watermark) of
376 case alarm_set(system_memory_high_watermark) of
406 alarm_set(Alarm) -> function
407 alarm_set(Alarm, alarm_handler:get_alarms()).
408 alarm_set(Alarm, [{Alarm,Data}|_]) -> function
410 alarm_set(Alarm, [_|T]) -> function
411 alarm_set(Alarm, T);
[all …]
/dports/lang/erlang-wx/otp-OTP-24.1.7/lib/os_mon/test/
H A Dmemsup_SUITE.erl198 case alarm_set(system_memory_high_watermark) of
225 case alarm_set(system_memory_high_watermark) of
238 case alarm_set(system_memory_high_watermark) of
346 case alarm_set(system_memory_high_watermark) of
373 case alarm_set(system_memory_high_watermark) of
403 alarm_set(Alarm) -> function
404 alarm_set(Alarm, alarm_handler:get_alarms()).
405 alarm_set(Alarm, [{Alarm,Data}|_]) -> function
407 alarm_set(Alarm, [_|T]) -> function
408 alarm_set(Alarm, T);
[all …]
/dports/lang/erlang-java/otp-OTP-24.1.7/lib/os_mon/test/
H A Dmemsup_SUITE.erl198 case alarm_set(system_memory_high_watermark) of
225 case alarm_set(system_memory_high_watermark) of
238 case alarm_set(system_memory_high_watermark) of
346 case alarm_set(system_memory_high_watermark) of
373 case alarm_set(system_memory_high_watermark) of
403 alarm_set(Alarm) -> function
404 alarm_set(Alarm, alarm_handler:get_alarms()).
405 alarm_set(Alarm, [{Alarm,Data}|_]) -> function
407 alarm_set(Alarm, [_|T]) -> function
408 alarm_set(Alarm, T);
[all …]
/dports/lang/erlang/otp-OTP-24.1.7/lib/os_mon/test/
H A Dmemsup_SUITE.erl198 case alarm_set(system_memory_high_watermark) of
225 case alarm_set(system_memory_high_watermark) of
238 case alarm_set(system_memory_high_watermark) of
346 case alarm_set(system_memory_high_watermark) of
373 case alarm_set(system_memory_high_watermark) of
403 alarm_set(Alarm) -> function
404 alarm_set(Alarm, alarm_handler:get_alarms()).
405 alarm_set(Alarm, [{Alarm,Data}|_]) -> function
407 alarm_set(Alarm, [_|T]) -> function
408 alarm_set(Alarm, T);
[all …]
/dports/sysutils/nut/nut-2.7.4/drivers/
H A Dusbhid-ups.c1377 alarm_set("Replace battery!"); in ups_alarm_set()
1380 alarm_set("Shutdown imminent!"); in ups_alarm_set()
1383 alarm_set("Fan failure!"); in ups_alarm_set()
1386 alarm_set("No battery installed!"); in ups_alarm_set()
1389 alarm_set("Battery voltage too low!"); in ups_alarm_set()
1392 alarm_set("Battery voltage too high!"); in ups_alarm_set()
1395 alarm_set("Battery charger fail!"); in ups_alarm_set()
1401 alarm_set("Internal UPS fault!"); /* UPS fault; Belkin, TrippLite */ in ups_alarm_set()
1407 alarm_set("Automatic bypass mode!"); in ups_alarm_set()
1410 alarm_set("Manual bypass mode!"); in ups_alarm_set()
[all …]
H A Dnetxml-ups.c895 alarm_set("Replace battery!"); in netxml_alarm_set()
898 alarm_set("Shutdown imminent!"); in netxml_alarm_set()
901 alarm_set("Fan failure!"); in netxml_alarm_set()
904 alarm_set("No battery installed!"); in netxml_alarm_set()
913 alarm_set("Battery charger fail!"); in netxml_alarm_set()
916 alarm_set("Temperature too high!"); in netxml_alarm_set()
919 alarm_set("Communication fault!"); in netxml_alarm_set()
922 alarm_set("Internal UPS fault!"); in netxml_alarm_set()
925 alarm_set("Fuse fault!"); in netxml_alarm_set()
928 alarm_set("Automatic bypass mode!"); in netxml_alarm_set()
[all …]
/dports/emulators/vice/vice-3.5/src/drive/tcbm/
H A Dglue1551.c132 alarm_set(glue1551[drv->mynumber].timer_alarm, *(drv->clk_ptr) in glue1551_timer()
138 alarm_set(glue1551[drv->mynumber].timer_alarm, *(drv->clk_ptr) in glue1551_timer()
164 alarm_set(glue1551[drv->mynumber].timer_alarm, in glue1551_reset()
/dports/emulators/vice/vice-3.5/src/core/
H A Dflash040core.c225alarm_set(flash040_context->erase_alarm, maincpu_clk + flash_types[flash040_context->flash_type].e… in erase_alarm_handler()
244alarm_set(flash040_context->erase_alarm, maincpu_clk + flash_types[flash040_context->flash_type].e… in erase_alarm_handler()
341alarm_set(flash040_context->erase_alarm, maincpu_clk + flash_types[flash040_context->flash_type].e… in flash040core_store_internal()
346alarm_set(flash040_context->erase_alarm, maincpu_clk + flash_types[flash040_context->flash_type].e… in flash040core_store_internal()
373alarm_set(flash040_context->erase_alarm, maincpu_clk + flash_types[flash040_context->flash_type].e… in flash040core_store_internal()
567alarm_set(flash040_context->erase_alarm, maincpu_clk + flash_types[flash040_context->flash_type].e… in flash040core_snapshot_read_module()
/dports/emulators/libretro-vice/vice-libretro-5725415/vice/src/core/
H A Dflash040core.c225alarm_set(flash040_context->erase_alarm, maincpu_clk + flash_types[flash040_context->flash_type].e… in erase_alarm_handler()
244alarm_set(flash040_context->erase_alarm, maincpu_clk + flash_types[flash040_context->flash_type].e… in erase_alarm_handler()
341alarm_set(flash040_context->erase_alarm, maincpu_clk + flash_types[flash040_context->flash_type].e… in flash040core_store_internal()
346alarm_set(flash040_context->erase_alarm, maincpu_clk + flash_types[flash040_context->flash_type].e… in flash040core_store_internal()
373alarm_set(flash040_context->erase_alarm, maincpu_clk + flash_types[flash040_context->flash_type].e… in flash040core_store_internal()
567alarm_set(flash040_context->erase_alarm, maincpu_clk + flash_types[flash040_context->flash_type].e… in flash040core_snapshot_read_module()
/dports/emulators/libretro-vice/vice-libretro-5725415/vice/src/drive/tcbm/
H A Dglue1551.c132 alarm_set(glue1551[drv->mynumber].timer_alarm, *(drv->clk_ptr) in glue1551_timer()
138 alarm_set(glue1551[drv->mynumber].timer_alarm, *(drv->clk_ptr) in glue1551_timer()
164 alarm_set(glue1551[drv->mynumber].timer_alarm, in glue1551_reset()
/dports/devel/p5-POE/POE-1.368/t/10_units/03_base/
H A D12_assert_retval.t83 eval { $poe_kernel->alarm_set(undef) };
89 eval { $poe_kernel->alarm_set(moo => undef) };
/dports/math/gretl/gretl-2021d/lib/src/
H A Dgretl_errors.c126 static int alarm_set; variable
303 if (alarm_set && *gretl_errmsg != '\0') { in gretl_errmsg_set()
526 if (!alarm_set) { in gretl_error_clear()
576 alarm_set = val; in set_gretl_alarm()
/dports/math/boolector/boolector-3.2.2/contrib/windows_patches/
H A DCaDiCaL_20190730.patch199 @@ -25,15 +25,23 @@ static bool alarm_set = false;
227 if (!alarm_set) return;
233 alarm_set = false;
268 assert (!alarm_set);
271 alarm_set = true;

123456789