Home
last modified time | relevance | path

Searched refs:aln (Results 1 – 25 of 1166) sorted by relevance

12345678910>>...47

/dports/biology/ugene/ugene-40.1/src/plugins_3rdparty/kalign/src/kalign2/
H A Dkalign2_input.c189 aln = aln_alloc(aln); in detect_and_read_sequences()
240 aln->sl[numseq+i] = aln->sl[aln->sip[numseq+i][0]]; in detect_and_read_sequences()
1454 aln->s[i][aln->sl[i]] = 0; in read_sequences_clustal()
1571 aln->s[i][aln->sl[i]] = 0; in read_alignment_clustal()
1572 aln->seq[i][aln->sl[i]] = 0; in read_alignment_clustal()
1656 aln->sl[i-1] = aln->sl[i]; in read_sequences()
1698 aln->s[i][aln->sl[i]] = 0; in read_sequences()
1699 aln->seq[i][aln->sl[i]] = 0; in read_sequences()
1700 aln->sn[i][aln->lsn[i]] = 0; in read_sequences()
1786 aln->sl[i-1] = aln->sl[i]; in read_alignment()
[all …]
H A Dkalign2_output.c88 if(aln->ft){ in macsim_output()
110 tmp =aln->s[f][aln->sl[f]]; in macsim_output()
162 tmp =aln->s[i][aln->sl[i]]; in msf_output()
307 tmp =aln->s[i][aln->sl[i]]; in clustal_output()
445 tmp =aln->s[i][aln->sl[i]]; in aln_output()
548 min_len = (aln->lsn[i] < aln->lsn[j])? aln->lsn[i] : aln->lsn[j]; in get_meaningful_names()
552 if( aln->sn[i][c] != aln->sn[j][c]){ in get_meaningful_names()
556 if(aln->sn[i][c] == aln->sn[j][c]){ in get_meaningful_names()
557 if(aln->sn[i][c] != '_' && aln->sn[i][c] != '-'){ in get_meaningful_names()
576 if(!isalnum((int)aln->sn[i][j]) && aln->sn[i][j] != '_' && aln->sn[i][j] != '-'){ in get_meaningful_names()
[all …]
H A Dkalign2_misc.c170 aln = sort_in_relation(aln,sort); in sort_sequences()
183 return aln; in sort_sequences()
238 return aln; in sort_in_relation()
259 aln->sip[left][0] = aln->sip[right][0]; in q_sort()
260 aln->nsip[left] = aln->nsip[right]; in q_sort()
267 aln->sip[right][0] = aln->sip[left][0]; in q_sort()
268 aln->nsip[right] = aln->nsip[left]; in q_sort()
346 return aln; in make_dna()
636 update_gaps(aln->sl[aln->sip[a][i]],aln->s[aln->sip[a][i]],path[0],gap_a); in make_seq()
639 update_gaps(aln->sl[aln->sip[b][i]],aln->s[aln->sip[b][i]],path[0],gap_b); in make_seq()
[all …]
H A Dkalign2_main.c64 aln = detect_and_read_sequences(aln,param); in _main()
73 param->dna = byg_detect(aln->s[i],aln->sl[i]); in _main()
88 free_aln(aln); in _main()
92 aln = make_dna(aln); in _main()
97 aln->nsip[i] = i; in _main()
99 aln->s[i][j] = 0; in _main()
103 output(aln,param); in _main()
202 ntree_data->aln = aln; in _main()
263 p = aln->s[i]; in _main()
273 aln = make_seq(aln,a,b,map[tree[i+2]]); in _main()
[all …]
H A Dkalign2_mem.c142 return aln; in aln_alloc()
157 if(aln->ft){ in free_aln()
161 free(aln->ft); in free_aln()
163 if(aln->si){ in free_aln()
164 free(aln->si); in free_aln()
172 free(aln->seq); in free_aln()
173 free(aln->s); in free_aln()
174 free(aln->sn); in free_aln()
175 free(aln->sl); in free_aln()
176 free(aln->lsn); in free_aln()
[all …]
H A Dkalign2_alignment_types.c68 len_a = aln->sl[a]; in default_alignment()
69 len_b = aln->sl[b]; in default_alignment()
85 set_gap_penalties(profile[a],len_a,aln->nsip[b],0,aln->nsip[a]); in default_alignment()
86 set_gap_penalties(profile[b],len_b,aln->nsip[a],0,aln->nsip[b]); in default_alignment()
91 map[c] = ps_dyn(map[c],dp,profb,aln->s[a],len_b,len_a,aln->nsip[b]); in default_alignment()
96 map[c] = ps_dyn(map[c],dp,profa,aln->s[b],len_a,len_b,aln->nsip[a]); in default_alignment()
114 aln->nsip[c] = aln->nsip[a] + aln->nsip[b]; in default_alignment()
115 aln->sip[c] = malloc(sizeof(int)*(aln->nsip[a] + aln->nsip[b])); in default_alignment()
118 aln->sip[c][g] = aln->sip[a][j]; in default_alignment()
122 aln->sip[c][g] = aln->sip[b][j]; in default_alignment()
[all …]
H A Dkalign2_profile_alignment.c63 aln->s[i] = assign_gap_codes(aln->s[i],aln->sl[i]); in profile_alignment_main()
121 p = aln->s[i]; in profile_alignment_main()
132 aln = make_seq(aln,a,b,map[c]); in profile_alignment_main()
138 aln = sort_sequences(aln,tree,param->sort); in profile_alignment_main()
252 aln->nsip[c] = aln->nsip[a] + aln->nsip[b]; in hirschberg_profile_alignment()
253 aln->sip[c] = malloc(sizeof(int)*(aln->nsip[a] + aln->nsip[b])); in hirschberg_profile_alignment()
256 aln->sip[c][g] = aln->sip[a][j]; in hirschberg_profile_alignment()
260 aln->sip[c][g] = aln->sip[b][j]; in hirschberg_profile_alignment()
337 seq = aln->s[aln->sip[num][a]]; in make_profile_from_alignment()
408 p = aln->s[i]; in protein_profile_wu_distance()
[all …]
/dports/biology/p5-Bio-Phylo/Bio-Phylo-v2.0.1/t/
H A D24-bioperl-alignio.t348 while ( $aln = <$in> ) {
349 $aln = Bio::Phylo::Matrices::Matrix->new_from_bioperl($aln);
409 $aln = Bio::Phylo::Matrices::Matrix->new_from_bioperl( $str->next_aln($aln) );
730 is( $aln->is_flush, 1 );
742 $aln->unmatch();
776 $aln =
873 is $aln->length, 25;
875 is $aln->no_sequences, 4;
890 is $aln->length, 20;
966 $aln = Bio::Phylo::Matrices::Matrix->new_from_bioperl($aln);
[all …]
/dports/biology/p5-BioPerl/BioPerl-1.7.7/t/AlignIO/
H A Demboss.t21 $aln = $str->next_aln();
23 is($aln->score,'501.50');
30 is($aln->length,364);
36 $aln = $str->next_aln();
38 is($aln->score,'499.50');
46 $aln = $str->next_aln();
51 $aln = $str->next_aln();
61 $aln = $str->next_aln();
63 is($aln->score,'18.0');
67 is($aln->length,238);
[all …]
H A Dnexus.t23 $aln = $str->next_aln();
35 $aln = $str->next_aln();
41 $aln = $str->next_aln();
47 $aln = $str->next_aln();
53 $aln = $str->next_aln();
59 $aln = $str->next_aln();
65 $aln = $str->next_aln();
71 $aln = $str->next_aln();
77 $aln = $str->next_aln();
83 $aln = $str->next_aln();
[all …]
H A Darp.t17 my ($str,$aln,$strout,$status);
25 $aln = $str->next_aln();
26 isa_ok($aln,'Bio::Align::AlignI');
32 my $coll = $aln->annotation;
46 $aln = $str->next_aln();
47 isa_ok($aln,'Bio::Align::AlignI');
52 $coll = $aln->annotation;
62 $aln = $str->next_aln();
68 $coll = $aln->annotation;
78 $aln = $str->next_aln();
[all …]
H A Dmeme.t21 my $aln = $str->next_aln();
22 isa_ok($aln,'Bio::Align::AlignI');is $aln->length,25;
23 is $aln->num_sequences,4;
25 is $aln->get_seq_by_pos(3)->strand,"1";
32 $aln = $str->next_aln();
33 isa_ok($aln,'Bio::Align::AlignI');is $aln->length,20;
34 is $aln->num_sequences,8;
37 is $aln->get_seq_by_pos(6)->strand,"1";
44 $aln = $str->next_aln();
45 isa_ok($aln,'Bio::Align::AlignI');is $aln->length,21;
[all …]
H A Dphylip.t15 my ($str,$aln,$strout,$status);
20 $aln = $strout->next_aln($aln);
21 isa_ok($aln,'Bio::Align::AlignI');
34 $aln = $str->next_aln();
36 isa_ok($aln,'Bio::Align::AlignI');
45 $aln = $str->next_aln();
55 $aln = $str->next_aln();
82 $aln = $str->next_aln();
83 $ls = $aln->get_seq_by_pos(9);
90 $aln = $io->next_aln();
[all …]
/dports/biology/ncbi-cxx-toolkit/ncbi_cxx--25_2_0/src/algo/align/util/
H A Dbest_placement.cpp263 if(!aln.GetSegs().IsSpliced()) { in GetLongestMatchrunLen()
323 _ASSERT(isProtSS(aln)); in s_GetIdentOdds_protSS()
366 _ASSERT(aln.GetSegs().IsSpliced() && !isProtSS(aln)); in s_GetIdentOdds_nucSS()
397 if(isProtSS(aln)) { in GetIdentOdds()
444 if(aln.GetSegs().IsSpliced() && !isProtSS(aln)) { in GetIdentOdds()
450 cerr << MSerial_AsnText << aln; in GetIdentOdds()
603 return get_score(aln); in GetScore()
619 for(const auto aln : sas.Set()) { in Rank() local
624 v.emplace_back(score_fn(*aln), aln); in Rank()
642 auto aln = p.second; in Rank() local
[all …]
/dports/biology/ugene/ugene-40.1/src/plugins_3rdparty/kalign/src/
H A DKalignAdapter.cpp129 aln = aln_alloc(aln); in alignUnsafe()
158 aln->s[i][j] = aacode[toupper(aln->seq[i][j]) - 65]; in alignUnsafe()
163 aln->s[i][aln->sl[i]] = 0; in alignUnsafe()
164 aln->seq[i][aln->sl[i]] = 0; in alignUnsafe()
165 aln->sn[i][aln->lsn[i]] = 0; in alignUnsafe()
184 param->dna = byg_detect(aln->s[i], aln->sl[i]); in alignUnsafe()
204 aln = make_dna(aln); in alignUnsafe()
390 aln = make_seq(aln, a, b, map[tree[i + 2]]); in alignUnsafe()
401 aln = sort_sequences(aln, tree, param->sort); in alignUnsafe()
415 seq += QString(aln->s[f][j], '-') + aln->seq[f][j]; in alignUnsafe()
[all …]
/dports/biology/p5-BioPerl/BioPerl-1.7.7/lib/Bio/Align/
H A DPairwiseStatistics.pm101 my ($self,$aln) = @_;
102 if ( ! defined $aln || ! $aln->isa('Bio::Align::AlignI') ) {
110 my $L = $aln->length - $self->number_of_gaps($aln);
126 my ($self,$aln) = @_;
127 if( ! defined $aln || ! $aln->isa('Bio::Align::AlignI') ) {
135 foreach my $seq ( $aln->each_seq ) {
165 my ($self,$aln) = @_;
166 if ( ! defined $aln || ! $aln->isa('Bio::Align::AlignI') ) {
173 my $gapline = $aln->gap_line;
208 if ( ! defined $aln || ! $aln->isa('Bio::Align::AlignI') ) {
[all …]
/dports/biology/viennarna/ViennaRNA-2.4.18/src/ViennaRNA/io/
H A Dfile_formats_msa.c179 aln = NULL; in vrna_file_msa_detect_format()
254 if (names && aln) { in vrna_file_msa_read()
256 *aln = NULL; in vrna_file_msa_read()
350 *aln = NULL; in vrna_file_msa_read_record()
523 *aln = NULL; in parse_stockholm_alignment()
891 (*aln)[nn] = (char *)vrna_realloc((*aln)[nn], in parse_clustal_alignment()
946 *aln = NULL; in parse_maf_alignment()
1041 if (aln && (*aln)) in free_msa_record()
1064 if (aln && (*aln)) { in free_msa_record()
1065 free(*aln); in free_msa_record()
[all …]
/dports/biology/p5-BioPerl/BioPerl-1.7.7/lib/Bio/AlignIO/
H A Dmega.pm139 $aln->match_char($value);
141 $aln->gap_char($value);
172 $aln->add_seq($seq);
174 $aln->unmatch;
175 return $aln if $aln->num_sequences;
190 my ($self,@aln) = @_;
195 foreach my $aln ( @aln ) {
196 if( ! $aln || ! $aln->isa('Bio::Align::AlignI') ) {
203 $aln->match();
207 $aln->match_char, $aln->gap_char);
[all …]
H A Dnexus.pm210 $aln->gap_char($gap);
320 $aln->add_seq($seq);
336 return $aln if $aln->num_sequences;
369 my ($self,@aln) = @_;
376 foreach my $aln (@aln) {
377 if( ! $aln || ! $aln->isa('Bio::Align::AlignI') ) {
384 $length = $aln->length();
387 $aln->id, $aln->num_sequences, $length));
388 $match = "match=". $aln->match_char if $aln->match_char;
389 $missing = "missing=". $aln->missing_char if $aln->missing_char;
[all …]
/dports/biology/fasta3/fasta-36.3.8/src/
H A Dc_dispn.c118 ioff0=aln->smin0; in discons()
120 ioff1=aln->smin1; in discons()
159 aln->q_offset+qlsgn*ioff0*aln->llmult+qfx0); in discons()
224 aln->q_offset+qlsgn*(ioff0-del0)*aln->llmult+qfx0, in discons()
261 if (qlsgn > 0) {q_digit_max = aln->smin0 + (aln->amax0 - aln->amin0); } in discons()
265 if (llsgn > 0) {s_digit_max = aln->smin1 + (aln->amax1 - aln->amin1); } in discons()
267 s_digit_max = aln->l_offset + aln->frame + llsgn*aln->llmult*s_digit_max + 1l; in discons()
569 aln->d_start0 = q_offset+q_lsgn*aln->amin0+q_fx0; in calc_coord()
570 aln->d_stop0 = q_offset+q_lsgn*aln->amax0+q_fxn; in calc_coord()
571 aln->d_start1 = l_offset+l_lsgn*aln->amin1*aln->llmult+l_fx0; in calc_coord()
[all …]
H A Dcal_consf.c148 …n0t=lenc=len_gap=aln->nident=aln->nmismatch=aln->nsim=aln->npos=aln->ngap_q=aln->ngap_l=op=p_ac= 0; in calc_cons_a()
241 if (itmp>aln->llen/2) ns += aln->llen; in calc_cons_a()
378 …lenc = len_gap =aln->nident=aln->nmismatch=aln->nsim=aln->npos=aln->ngap_q=aln->ngap_l=aln->nfs=op… in calc_code()
414 aln->npos++; in calc_code()
415 aln->nsim++; in calc_code()
419 aln->nsim++; in calc_code()
450 aln->q_offset+i0+1,aln->l_offset+i1+1, in calc_code()
545 …lenc=len_gap=aln->nident=aln->nmismatch=aln->nsim=aln->npos=aln->ngap_q = aln->ngap_l = aln->nfs =… in calc_id()
554 aln->nsim++; in calc_id()
555 aln->npos++; in calc_id()
[all …]
H A Dcal_cons.c127 struct a_struct *aln, in calc_cons_a() argument
204 if (min(a_res->min0,a_res->min1)<aln->llen || aln->showall==1) in calc_cons_a()
214 aln->smin1 = 0; in calc_cons_a()
230 aln->smin0 = 0; in calc_cons_a()
270 lenc = aln->nident = aln->nmismatch = in calc_cons_a()
271 aln->npos = aln->nsim = aln->ngap_q = aln->ngap_l = aln->nfs = op = 0; in calc_cons_a()
457 aln->ngap_q++; in calc_cons_a()
496 aln->ngap_l++; in calc_cons_a()
536 if (itmp>aln->llen/2) ns += aln->llen; /* more than 1/2 , use another*/ in calc_cons_a()
812 …lenc = aln->nident = aln->nmismatch = aln->nsim = aln->npos = aln->ngap_q = aln->ngap_l = aln->nfs… in calc_code()
[all …]
/dports/biology/vt/vt-0.57721/lib/htslib/test/
H A Dsam.c117 bam1_t *aln = bam_init1(); in aux_fields1() local
139 bam_aux_del(aln,p); in aux_fields1()
140 if (bam_aux_get(aln,"XA")) in aux_fields1()
168 check_int_B_array(aln, "B0", in aux_fields1()
170 check_int_B_array(aln, "B1", in aux_fields1()
172 check_int_B_array(aln, "B2", in aux_fields1()
174 check_int_B_array(aln, "B3", in aux_fields1()
176 check_int_B_array(aln, "B4", in aux_fields1()
246 bam_destroy1(aln); in aux_fields1()
264 bam1_t *aln = bam_init1(); in copy_check_alignment() local
[all …]
/dports/biology/kallisto/kallisto-0.46.1/ext/htslib/test/
H A Dsam.c117 bam1_t *aln = bam_init1(); in aux_fields1() local
139 bam_aux_del(aln,p); in aux_fields1()
140 if (bam_aux_get(aln,"XA")) in aux_fields1()
168 check_int_B_array(aln, "B0", in aux_fields1()
170 check_int_B_array(aln, "B1", in aux_fields1()
172 check_int_B_array(aln, "B2", in aux_fields1()
174 check_int_B_array(aln, "B3", in aux_fields1()
176 check_int_B_array(aln, "B4", in aux_fields1()
246 bam_destroy1(aln); in aux_fields1()
264 bam1_t *aln = bam_init1(); in copy_check_alignment() local
[all …]
/dports/biology/p5-BioPerl/BioPerl-1.7.7/t/Align/
H A DAlignStats.t20 my $aln = $in->next_aln();
21 isa_ok($aln, 'Bio::Align::AlignI');
23 is( $stats->transversions($aln),4);
24 is( $stats->transitions($aln),9);
29 is( $stats->pairwise_stats->score_nuc( -aln => $aln, -match => 1,
36 $d = $stats->distance(-align=> $aln,
59 $aln = $in->next_aln();
60 isa_ok($aln,'Bio::Align::AlignI');
63 is( $stats->transitions($aln),17);
68 is( $stats->pairwise_stats->score_nuc( -aln => $aln, -match => 1,
[all …]

12345678910>>...47