Home
last modified time | relevance | path

Searched refs:argidx (Results 1 – 25 of 492) sorted by relevance

12345678910>>...20

/dports/net/mpich2/mpich2-1.5/src/pm/mpd/
H A Dmpdboot.py98 if argv[argidx] == '-h' or argv[argidx] == '--help':
102 argidx += 2
110 argidx += 1
113 argidx += 2
121 argidx += 1
124 argidx += 2
132 argidx += 1
176 elif argv[argidx] == '-d' or argv[argidx] == '--debug':
179 elif argv[argidx] == '-s' or argv[argidx] == '--shell':
182 elif argv[argidx] == '-v' or argv[argidx] == '--verbose':
[all …]
H A Dnewboot.py85 if argv[argidx] == '-h' or argv[argidx] == '--help':
89 argidx += 2
97 argidx += 1
100 argidx += 2
108 argidx += 1
111 argidx += 2
119 argidx += 1
150 elif argv[argidx] == '-d' or argv[argidx] == '--debug':
153 elif argv[argidx] == '-s' or argv[argidx] == '--shell':
156 elif argv[argidx] == '-v' or argv[argidx] == '--verbose':
[all …]
/dports/cad/yosys/yosys-yosys-0.12/passes/cmds/
H A Dscratchpad.cc68 size_t argidx; in execute() local
69 for (argidx = 1; argidx < args.size(); argidx++) in execute()
71 if (args[argidx] == "-get" && argidx+1 < args.size()) { in execute()
82 if (args[argidx] == "-set" && argidx+2 < args.size()) { in execute()
86 string value = args[++argidx]; in execute()
91 if (args[argidx] == "-unset" && argidx+1 < args.size()) { in execute()
96 if (args[argidx] == "-copy" && argidx+2 < args.size()) { in execute()
111 if (args[argidx] == "-assert" && argidx+2 < args.size()) { in execute()
113 string expected = args[++argidx]; in execute()
124 if (args[argidx] == "-assert-set" && argidx+1 < args.size()) { in execute()
[all …]
H A Dlogger.cc76 size_t argidx; in execute() local
77 for (argidx = 1; argidx < args.size(); argidx++) in execute()
80 if (args[argidx] == "-time") { in execute()
85 if (args[argidx] == "-notime") { in execute()
90 if (args[argidx] == "-stderr") { in execute()
100 if (args[argidx] == "-warn" && argidx+1 < args.size()) { in execute()
112 if (args[argidx] == "-nowarn" && argidx+1 < args.size()) { in execute()
124 if (args[argidx] == "-werror" && argidx+1 < args.size()) { in execute()
136 if (args[argidx] == "-debug") { in execute()
146 if (args[argidx] == "-experimental" && argidx+1 < args.size()) { in execute()
[all …]
H A Ddesign.cc121 size_t argidx; in execute() local
122 for (argidx = 1; argidx < args.size(); argidx++) in execute()
150 if (!got_mode && args[argidx] == "-save" && argidx+1 < args.size()) { in execute()
155 if (!got_mode && args[argidx] == "-stash" && argidx+1 < args.size()) { in execute()
161 if (!got_mode && args[argidx] == "-load" && argidx+1 < args.size()) { in execute()
168 if (!got_mode && args[argidx] == "-copy-from" && argidx+1 < args.size()) { in execute()
176 if (!got_mode && args[argidx] == "-copy-to" && argidx+1 < args.size()) { in execute()
184 if (!got_mode && args[argidx] == "-import" && argidx+1 < args.size()) { in execute()
191 as_name = args[argidx]; in execute()
198 if (!got_mode && args[argidx] == "-delete" && argidx+1 < args.size()) { in execute()
[all …]
H A Dsetattr.cc77 size_t argidx; in execute() local
78 for (argidx = 1; argidx < args.size(); argidx++) in execute()
80 std::string arg = args[argidx]; in execute()
97 extra_args(args, argidx, design); in execute()
143 size_t argidx; in execute() local
144 for (argidx = 1; argidx < args.size(); argidx++) in execute()
146 std::string arg = args[argidx]; in execute()
187 size_t argidx; in execute() local
188 for (argidx = 1; argidx < args.size(); argidx++) in execute()
243 size_t argidx; in execute() local
[all …]
H A Dtee.cc60 size_t argidx; in execute() local
61 for (argidx = 1; argidx < args.size(); argidx++) in execute()
63 if (args[argidx] == "-q" && files_to_close.empty()) { in execute()
68 if ((args[argidx] == "-o" || args[argidx] == "-a") && argidx+1 < args.size()) { in execute()
69 const char *open_mode = args[argidx] == "-o" ? "w" : "a+"; in execute()
70 FILE *f = fopen(args[++argidx].c_str(), open_mode); in execute()
71 yosys_input_files.insert(args[argidx]); in execute()
75 log_cmd_error("Can't create file %s.\n", args[argidx].c_str()); in execute()
81 …if (GetSize(args[argidx]) >= 2 && (args[argidx][0] == '-' || args[argidx][0] == '+') && args[argid… in execute()
82 log_verbose_level += atoi(args[argidx].c_str()); in execute()
[all …]
H A Dexec.cc98 for(size_t argidx = 1; argidx < args.size(); ++argidx) { in execute() local
100 cmd += args[argidx] + (argidx != (args.size() - 1)? " " : ""); in execute()
102 if (args[argidx] == "--") in execute()
108 ++argidx; in execute()
109 if (argidx >= args.size()) in execute()
115 ++argidx; in execute()
116 if (argidx >= args.size()) in execute()
121 x.str = args[argidx]; in execute()
129 ++argidx; in execute()
130 if (argidx >= args.size()) in execute()
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/ice40/
H A Dsynth_ice40.cc148 size_t argidx; in execute() local
149 for (argidx = 1; argidx < args.size(); argidx++) in execute()
151 if (args[argidx] == "-top" && argidx+1 < args.size()) { in execute()
155 if (args[argidx] == "-blif" && argidx+1 < args.size()) { in execute()
156 blif_file = args[++argidx]; in execute()
159 if (args[argidx] == "-edif" && argidx+1 < args.size()) { in execute()
160 edif_file = args[++argidx]; in execute()
163 if (args[argidx] == "-json" && argidx+1 < args.size()) { in execute()
167 if (args[argidx] == "-run" && argidx+1 < args.size()) { in execute()
199 if (args[argidx] == "-dffe_min_ce_use" && argidx+1 < args.size()) { in execute()
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/ecp5/
H A Dsynth_ecp5.cc141 size_t argidx; in execute() local
142 for (argidx = 1; argidx < args.size(); argidx++) in execute()
144 if (args[argidx] == "-top" && argidx+1 < args.size()) { in execute()
148 if (args[argidx] == "-blif" && argidx+1 < args.size()) { in execute()
149 blif_file = args[++argidx]; in execute()
152 if (args[argidx] == "-edif" && argidx+1 < args.size()) { in execute()
153 edif_file = args[++argidx]; in execute()
156 if (args[argidx] == "-json" && argidx+1 < args.size()) { in execute()
160 if (args[argidx] == "-run" && argidx+1 < args.size()) { in execute()
200 if (args[argidx] == "-nolutram" || /*deprecated alias*/ args[argidx] == "-nodram") { in execute()
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/common/
H A Dsynth.cc116 size_t argidx; in execute() local
117 for (argidx = 1; argidx < args.size(); argidx++) in execute()
119 if (args[argidx] == "-top" && argidx+1 < args.size()) { in execute()
120 top_module = args[++argidx]; in execute()
123 if (args[argidx] == "-encfile" && argidx+1 < args.size()) { in execute()
127 if (args[argidx] == "-run" && argidx+1 < args.size()) { in execute()
130 run_from = args[++argidx]; in execute()
131 run_to = args[argidx]; in execute()
146 if (args[argidx] == "-lut") { in execute()
150 if (args[argidx] == "-nofsm") { in execute()
[all …]
H A Dprep.cc101 size_t argidx; in execute() local
102 for (argidx = 1; argidx < args.size(); argidx++) in execute()
104 if (args[argidx] == "-top" && argidx+1 < args.size()) { in execute()
105 top_module = args[++argidx]; in execute()
108 if (args[argidx] == "-run" && argidx+1 < args.size()) { in execute()
111 run_from = args[++argidx]; in execute()
112 run_to = args[argidx]; in execute()
127 if (args[argidx] == "-ifx") { in execute()
131 if (args[argidx] == "-memx") { in execute()
135 if (args[argidx] == "-nomem") { in execute()
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/gowin/
H A Dsynth_gowin.cc114 size_t argidx; in execute() local
115 for (argidx = 1; argidx < args.size(); argidx++) in execute()
117 if (args[argidx] == "-top" && argidx+1 < args.size()) { in execute()
121 if (args[argidx] == "-vout" && argidx+1 < args.size()) { in execute()
122 vout_file = args[++argidx]; in execute()
125 if (args[argidx] == "-json" && argidx+1 < args.size()) { in execute()
126 json_file = args[++argidx]; in execute()
131 if (args[argidx] == "-run" && argidx+1 < args.size()) { in execute()
147 if (args[argidx] == "-nolutram" || /*deprecated*/args[argidx] == "-nodram") { in execute()
163 if (args[argidx] == "-noalu") { in execute()
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/sf2/
H A Dsynth_sf2.cc98 size_t argidx; in execute() local
99 for (argidx = 1; argidx < args.size(); argidx++) in execute()
101 if (args[argidx] == "-top" && argidx+1 < args.size()) { in execute()
105 if (args[argidx] == "-edif" && argidx+1 < args.size()) { in execute()
106 edif_file = args[++argidx]; in execute()
109 if (args[argidx] == "-vlog" && argidx+1 < args.size()) { in execute()
110 vlog_file = args[++argidx]; in execute()
113 if (args[argidx] == "-json" && argidx+1 < args.size()) { in execute()
114 json_file = args[++argidx]; in execute()
117 if (args[argidx] == "-run" && argidx+1 < args.size()) { in execute()
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/machxo2/
H A Dsynth_machxo2.cc95 size_t argidx; in execute() local
96 for (argidx = 1; argidx < args.size(); argidx++) in execute()
98 if (args[argidx] == "-top" && argidx+1 < args.size()) { in execute()
102 if (args[argidx] == "-blif" && argidx+1 < args.size()) { in execute()
103 blif_file = args[++argidx]; in execute()
106 if (args[argidx] == "-edif" && argidx+1 < args.size()) { in execute()
107 edif_file = args[++argidx]; in execute()
110 if (args[argidx] == "-json" && argidx+1 < args.size()) { in execute()
111 json_file = args[++argidx]; in execute()
114 if (args[argidx] == "-run" && argidx+1 < args.size()) { in execute()
[all …]
/dports/cad/yosys/yosys-yosys-0.12/passes/equiv/
H A Dequiv_opt.cc87 size_t argidx; in execute() local
88 for (argidx = 1; argidx < args.size(); argidx++) { in execute()
89 if (args[argidx] == "-run" && argidx + 1 < args.size()) { in execute()
97 if (args[argidx] == "-map" && argidx + 1 < args.size()) { in execute()
101 if (args[argidx] == "-blacklist" && argidx + 1 < args.size()) { in execute()
105 if (args[argidx] == "-assert") { in execute()
109 if (args[argidx] == "-undef") { in execute()
113 if (args[argidx] == "-multiclock") { in execute()
117 if (args[argidx] == "-async2sync") { in execute()
124 for (; argidx < args.size(); argidx++) { in execute()
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/gatemate/
H A Dsynth_gatemate.cc114 size_t argidx; in execute() local
115 for (argidx = 1; argidx < args.size(); argidx++) in execute()
117 if (args[argidx] == "-top" && argidx+1 < args.size()) { in execute()
121 if (args[argidx] == "-vlog" && argidx+1 < args.size()) { in execute()
122 vlog_file = args[++argidx]; in execute()
125 if (args[argidx] == "-json" && argidx+1 < args.size()) { in execute()
126 json_file = args[++argidx]; in execute()
129 if (args[argidx] == "-run" && argidx+1 < args.size()) { in execute()
153 if (args[argidx] == "-nomx4") { in execute()
157 if (args[argidx] == "-nomx8") { in execute()
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/nexus/
H A Dsynth_nexus.cc135 size_t argidx; in execute() local
136 for (argidx = 1; argidx < args.size(); argidx++) in execute()
138 if (args[argidx] == "-top" && argidx+1 < args.size()) { in execute()
142 if (args[argidx] == "-json" && argidx+1 < args.size()) { in execute()
143 json_file = args[++argidx]; in execute()
146 if (args[argidx] == "-vm" && argidx+1 < args.size()) { in execute()
147 vm_file = args[++argidx]; in execute()
150 if (args[argidx] == "-run" && argidx+1 < args.size()) { in execute()
158 if ((args[argidx] == "-family") && argidx+1 < args.size()) { in execute()
159 family = args[++argidx]; in execute()
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/intel/
H A Dsynth_intel.cc101 size_t argidx; in execute() local
102 for (argidx = 1; argidx < args.size(); argidx++) { in execute()
103 if (args[argidx] == "-family" && argidx + 1 < args.size()) { in execute()
104 family_opt = args[++argidx]; in execute()
107 if (args[argidx] == "-top" && argidx + 1 < args.size()) { in execute()
111 if (args[argidx] == "-vqm" && argidx + 1 < args.size()) { in execute()
112 vout_file = args[++argidx]; in execute()
116 if (args[argidx] == "-vpr" && argidx + 1 < args.size()) { in execute()
117 blif_file = args[++argidx]; in execute()
120 if (args[argidx] == "-run" && argidx + 1 < args.size()) { in execute()
[all …]
/dports/devel/p5-XS-Parse-Keyword/XS-Parse-Keyword-0.21/src/
H A Dkeyword.c196 (*argidx)++; in probe_piece()
203 (*argidx)++; in probe_piece()
211 (*argidx)++; in probe_piece()
218 (*argidx)++; in probe_piece()
248 (*argidx)--; in probe_piece()
263 (*argidx)--; in probe_piece()
417 (*argidx)++; in parse_piece()
438 (*argidx)++; in parse_piece()
465 (*argidx)++; in parse_piece()
475 (*argidx)++; in parse_piece()
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/xilinx/
H A Dsynth_xilinx.cc179 size_t argidx; in execute() local
180 for (argidx = 1; argidx < args.size(); argidx++) in execute()
182 if (args[argidx] == "-top" && argidx+1 < args.size()) { in execute()
186 if ((args[argidx] == "-family" || args[argidx] == "-arch") && argidx+1 < args.size()) { in execute()
187 family = args[++argidx]; in execute()
190 if (args[argidx] == "-edif" && argidx+1 < args.size()) { in execute()
191 edif_file = args[++argidx]; in execute()
194 if (args[argidx] == "-blif" && argidx+1 < args.size()) { in execute()
198 if (args[argidx] == "-run" && argidx+1 < args.size()) { in execute()
250 if (args[argidx] == "-nolutram" || /*deprecated alias*/ args[argidx] == "-nodram") { in execute()
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/anlogic/
H A Dsynth_anlogic.cc90 size_t argidx; in execute() local
91 for (argidx = 1; argidx < args.size(); argidx++) in execute()
93 if (args[argidx] == "-top" && argidx+1 < args.size()) { in execute()
97 if (args[argidx] == "-edif" && argidx+1 < args.size()) { in execute()
98 edif_file = args[++argidx]; in execute()
101 if (args[argidx] == "-json" && argidx+1 < args.size()) { in execute()
102 json_file = args[++argidx]; in execute()
105 if (args[argidx] == "-run" && argidx+1 < args.size()) { in execute()
117 if (args[argidx] == "-nolutram") { in execute()
121 if (args[argidx] == "-retime") { in execute()
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/efinix/
H A Dsynth_efinix.cc90 size_t argidx; in execute() local
91 for (argidx = 1; argidx < args.size(); argidx++) in execute()
93 if (args[argidx] == "-top" && argidx+1 < args.size()) { in execute()
97 if (args[argidx] == "-edif" && argidx+1 < args.size()) { in execute()
98 edif_file = args[++argidx]; in execute()
101 if (args[argidx] == "-json" && argidx+1 < args.size()) { in execute()
102 json_file = args[++argidx]; in execute()
105 if (args[argidx] == "-run" && argidx+1 < args.size()) { in execute()
117 if (args[argidx] == "-retime") { in execute()
121 if (args[argidx] == "-nobram") { in execute()
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/easic/
H A Dsynth_easic.cc84 size_t argidx; in execute() local
85 for (argidx = 1; argidx < args.size(); argidx++) in execute()
87 if (args[argidx] == "-top" && argidx+1 < args.size()) { in execute()
91 if (args[argidx] == "-vlog" && argidx+1 < args.size()) { in execute()
92 vlog_file = args[++argidx]; in execute()
95 if (args[argidx] == "-etools" && argidx+1 < args.size()) { in execute()
96 etools_path = args[++argidx]; in execute()
99 if (args[argidx] == "-run" && argidx+1 < args.size()) { in execute()
107 if (args[argidx] == "-noflatten") { in execute()
111 if (args[argidx] == "-retime") { in execute()
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/intel_alm/
H A Dsynth_intel_alm.cc111 size_t argidx; in execute() local
112 for (argidx = 1; argidx < args.size(); argidx++) { in execute()
113 if (args[argidx] == "-family" && argidx + 1 < args.size()) { in execute()
114 family_opt = args[++argidx]; in execute()
117 if (args[argidx] == "-top" && argidx + 1 < args.size()) { in execute()
121 if (args[argidx] == "-vqm" && argidx + 1 < args.size()) { in execute()
123 vout_file = args[++argidx]; in execute()
126 if (args[argidx] == "-run" && argidx + 1 < args.size()) { in execute()
142 if (args[argidx] == "-nobram") { in execute()
146 if (args[argidx] == "-nodsp") { in execute()
[all …]

12345678910>>...20