Home
last modified time | relevance | path

Searched refs:avg_val (Results 1 – 25 of 52) sorted by relevance

123

/dports/multimedia/obs-studio/obs-studio-27.1.3/libobs/media-io/
H A Dformat-conversion.c55 __m128i avg_val = _mm_add_epi64( \
58 avg_val = _mm_srai_epi16(avg_val, 2); \
59 avg_val = _mm_shuffle_epi32(avg_val, _MM_SHUFFLE(3, 1, 2, 0)); \
60 avg_val = _mm_packus_epi16(avg_val, avg_val); \
62 *(uint32_t *)(uv_plane + chroma_pos) = get_m128_32_0(avg_val); \
72 __m128i avg_val = _mm_add_epi64( \
75 avg_val = _mm_srai_epi16(avg_val, 2); \
76 avg_val = _mm_shuffle_epi32(avg_val, _MM_SHUFFLE(3, 1, 2, 0)); \
77 avg_val = \
78 _mm_shufflelo_epi16(avg_val, _MM_SHUFFLE(3, 1, 2, 0)); \
[all …]
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/staging/rtl8723bs/hal/
H A Drtl8723b_rxdesc.c26 signal_stat->avg_val = signal_stat->total_val / signal_stat->total_num; in process_rssi()
50 signal_stat->avg_val = signal_stat->total_val / signal_stat->total_num; in process_link_qual()
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/staging/rtl8723bs/hal/
H A Drtl8723b_rxdesc.c26 signal_stat->avg_val = signal_stat->total_val / signal_stat->total_num; in process_rssi()
50 signal_stat->avg_val = signal_stat->total_val / signal_stat->total_num; in process_link_qual()
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/staging/rtl8723bs/hal/
H A Drtl8723b_rxdesc.c26 signal_stat->avg_val = signal_stat->total_val / signal_stat->total_num; in process_rssi()
50 signal_stat->avg_val = signal_stat->total_val / signal_stat->total_num; in process_link_qual()
/dports/benchmarks/nosqlbench/nosqlbench-0.0.20151214/
H A Dexport.py29 avg_val = raw[3].strip()
31 return avg_val
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/skia/tools/skottie-wasm-perf/
H A Dparse_perf_csvs.py44 avg_val = reduce(lambda x, y: x+y, vals) / float(len(vals))
45 test_to_avg[test_name] = avg_val
/dports/www/qt5-webengine/qtwebengine-everywhere-src-5.15.2/src/3rdparty/chromium/third_party/skia/tools/skottie-wasm-perf/
H A Dparse_perf_csvs.py44 avg_val = reduce(lambda x, y: x+y, vals) / float(len(vals))
45 test_to_avg[test_name] = avg_val
/dports/databases/pg_citus/citus-10.2.3/src/test/regress/sql/
H A Dsubqueries_deep.sql18 avg(event_type) as avg_val
32 baz.avg_val < users_table.user_id
/dports/databases/pg_citus/citus-10.2.3/src/test/regress/expected/
H A Dsubqueries_deep.out17 avg(event_type) as avg_val
31 baz.avg_val < users_table.user_id
37 DEBUG: generating subplan XXX_2 for subquery SELECT avg(bar.event_type) AS avg_val FROM (SELECT fo…
39 …sult.avg_val FROM read_intermediate_result('XXX_2'::text, 'binary'::citus_copy_format) intermediat…
/dports/devel/libsigrok/libsigrok-0.5.2/src/hardware/demo/
H A Dprotocol.c547 ag->avg_val = (ag->avg_val + value) / 2; in send_analog_packet()
564 ag->packet.data = &ag->avg_val; in send_analog_packet()
571 ag->avg_val = 0.0f; in send_analog_packet()
736 ag->packet.data = &ag->avg_val; in demo_prepare_data()
H A Dprotocol.h159 float avg_val; /* Average value */ member
/dports/math/py-theano/Theano-1.0.5/theano/tensor/tests/
H A Dtest_shared_randomstreams.py572 avg_val = [1, 2, 3]
578 val0 = f(avg_val, std_val)
579 numpy_val0 = numpy_rng.normal(loc=avg_val, scale=std_val)
583 val1 = f(avg_val[:-1], std_val[:-1])
584 numpy_val1 = numpy_rng.normal(loc=avg_val[:-1], scale=std_val[:-1])
589 val2 = g(avg_val, std_val)
591 numpy_val2 = numpy_rng.normal(loc=avg_val, scale=std_val, size=(3,))
593 self.assertRaises(ValueError, g, avg_val[:-1], std_val[:-1])
H A Dtest_raw_random.py794 avg_val = [1, 2, 3]
800 rng0, val0 = f(rng, avg_val, std_val)
801 numpy_val0 = as_floatX(numpy_rng.normal(loc=as_floatX(avg_val),
806 rng1, val1 = f(rng0, avg_val[:-1], std_val[:-1])
807 numpy_val1 = np.asarray(numpy_rng.normal(loc=avg_val[:-1],
816 rng2, val2 = g(rng1, avg_val, std_val)
817 numpy_val2 = np.asarray(numpy_rng.normal(loc=avg_val, scale=std_val,
821 self.assertRaises(ValueError, g, rng2, avg_val[:-1], std_val[:-1])
1106 avg_val = [1, 2, 3]
1110 [rng_R_val, avg_val[:-1],
[all …]
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/staging/rtl8188eu/hal/
H A Drtl8188e_rxdesc.c26 signal_stat->avg_val = signal_stat->total_val / signal_stat->total_num; in process_rssi()
49 signal_stat->avg_val = signal_stat->total_val / signal_stat->total_num; in process_link_qual()
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/staging/rtl8188eu/hal/
H A Drtl8188e_rxdesc.c26 signal_stat->avg_val = signal_stat->total_val / signal_stat->total_num; in process_rssi()
49 signal_stat->avg_val = signal_stat->total_val / signal_stat->total_num; in process_link_qual()
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/staging/rtl8188eu/hal/
H A Drtl8188e_rxdesc.c26 signal_stat->avg_val = signal_stat->total_val / signal_stat->total_num; in process_rssi()
49 signal_stat->avg_val = signal_stat->total_val / signal_stat->total_num; in process_link_qual()
/dports/science/quantum-espresso/q-e-qe-6.7.0/CPV/src/
H A Dcplib.f90522 REAL(DP) :: avg_val local
548 avg_val = REAL(SUM( ng_rcv(2,:) ))/nproc_bgrp
550 WRITE( stdout,1011) ng_snd(1), min_val, max_val, avg_val
564 avg_val = REAL(SUM( ng_rcv(2,:) ))/nproc_bgrp
565 WRITE( stdout,1011) ng_snd(1), min_val, max_val, avg_val
583 avg_val = REAL(SUM( ng_rcv(2,:) ))/nproc_bgrp
584 WRITE( stdout,1011) ng_snd(1), min_val, max_val, avg_val
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/staging/rtl8188eu/include/
H A Drtw_recv.h61 u8 avg_val; /* avg of valid elements */ member
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/staging/rtl8188eu/include/
H A Drtw_recv.h61 u8 avg_val; /* avg of valid elements */ member
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/staging/rtl8188eu/include/
H A Drtw_recv.h61 u8 avg_val; /* avg of valid elements */ member
/dports/math/geogram/geogram-1.7.7/src/lib/geogram/parameterization/
H A Dmesh_PGP_2d.cpp755 double avg_val = 0.5 * (min_val + max_val); in curl_correction() local
759 CC[v] = CC[v] / avg_val; in curl_correction()
/dports/databases/percona56-client/percona-server-5.6.51-91.0/sql/
H A Dsql_analyse.cc992 my_decimal num, avg_val, rounded_avg; in avg() local
996 my_decimal_div(E_DEC_FATAL_ERROR, &avg_val, sum+cur_sum, &num, prec_increment); in avg()
998 my_decimal_round(E_DEC_FATAL_ERROR, &avg_val, in avg()
/dports/databases/percona-pam-for-mysql/percona-server-5.6.51-91.0/sql/
H A Dsql_analyse.cc992 my_decimal num, avg_val, rounded_avg; in avg() local
996 my_decimal_div(E_DEC_FATAL_ERROR, &avg_val, sum+cur_sum, &num, prec_increment); in avg()
998 my_decimal_round(E_DEC_FATAL_ERROR, &avg_val, in avg()
/dports/databases/mysql57-client/mysql-5.7.36/sql/
H A Dsql_analyse.cc989 my_decimal num, avg_val, rounded_avg; in avg() local
993 my_decimal_div(E_DEC_FATAL_ERROR, &avg_val, sum+cur_sum, &num, prec_increment); in avg()
995 my_decimal_round(E_DEC_FATAL_ERROR, &avg_val, in avg()
/dports/databases/mysqlwsrep56-server/mysql-wsrep-wsrep_5.6.51-25.33/sql/
H A Dsql_analyse.cc993 my_decimal num, avg_val, rounded_avg; in avg() local
997 my_decimal_div(E_DEC_FATAL_ERROR, &avg_val, sum+cur_sum, &num, prec_increment); in avg()
999 my_decimal_round(E_DEC_FATAL_ERROR, &avg_val, in avg()

123