Home
last modified time | relevance | path

Searched refs:bench_test (Results 1 – 25 of 150) sorted by relevance

123456

/dports/www/grafana8/grafana-8.3.6/vendor/gonum.org/v1/gonum/internal/asm/
H A Dbench_gen.sh7 cat c64/bench_test.go \
14 > f32/bench_test.go
16 cat c64/bench_test.go \
23 > f64/bench_test.go
25 cat c64/bench_test.go \
30 > c128/bench_test.go
/dports/sysutils/istio/istio-1.6.7/vendor/github.com/openshift/api/vendor/gonum.org/v1/gonum/internal/asm/
H A Dbench_gen.sh7 cat c64/bench_test.go \
14 > f32/bench_test.go
16 cat c64/bench_test.go \
23 > f64/bench_test.go
25 cat c64/bench_test.go \
30 > c128/bench_test.go
/dports/multimedia/v4l_compat/linux-5.13-rc2/tools/testing/selftests/powerpc/stringloops/
H A Dstrlen.c36 static void bench_test(char *s) in bench_test() function
101 bench_test(s); in testcase()
104 bench_test(s); in testcase()
107 bench_test(s); in testcase()
110 bench_test(s); in testcase()
113 bench_test(s); in testcase()
116 bench_test(s); in testcase()
119 bench_test(s); in testcase()
/dports/multimedia/libv4l/linux-5.13-rc2/tools/testing/selftests/powerpc/stringloops/
H A Dstrlen.c36 static void bench_test(char *s) in bench_test() function
101 bench_test(s); in testcase()
104 bench_test(s); in testcase()
107 bench_test(s); in testcase()
110 bench_test(s); in testcase()
113 bench_test(s); in testcase()
116 bench_test(s); in testcase()
119 bench_test(s); in testcase()
/dports/multimedia/v4l-utils/linux-5.13-rc2/tools/testing/selftests/powerpc/stringloops/
H A Dstrlen.c36 static void bench_test(char *s) in bench_test() function
101 bench_test(s); in testcase()
104 bench_test(s); in testcase()
107 bench_test(s); in testcase()
110 bench_test(s); in testcase()
113 bench_test(s); in testcase()
116 bench_test(s); in testcase()
119 bench_test(s); in testcase()
/dports/www/py-dj32-django-cacheops/django-cacheops-6.0/
H A Dbench.py18 time = bench_test(test)
21 def bench_test(test): function
/dports/www/py-django-cacheops/django-cacheops-6.0/
H A Dbench.py18 time = bench_test(test)
21 def bench_test(test): function
/dports/graphics/svgbob/svgbob-c3e84c87094550d8cdc6fc48b40a00712b2951cb/svgbob/src/
H A Dtests.rs1 mod bench_test{ module
/dports/graphics/svgbob/svgbob-c3e84c87094550d8cdc6fc48b40a00712b2951cb/svgbob_cli/cargo-crates/svgbob-0.4.1/src/
H A Dtests.rs1 mod bench_test{ module
/dports/net/rclone/rclone-1.57.0/vendor/bazil.org/fuse/fs/bench/
H A Dhelpers_test.go1 package bench_test package
/dports/sysutils/restic/restic-0.12.1/vendor/bazil.org/fuse/fs/bench/
H A Dhelpers_test.go1 package bench_test package
/dports/net/syncthing/syncthing-1.18.1/syncthing/vendor/github.com/calmh/xdr/
H A Dgenerate.sh3 go run cmd/genxdr/main.go -- bench_test.go > bench_xdr_test.go
/dports/devel/aarch64-none-elf-gcc/gcc-8.4.0/gcc/testsuite/g++.dg/tm/
H A Dpr47530-2.C27 void bench_test() in bench_test() function
/dports/lang/gcc9/gcc-9.4.0/gcc/testsuite/g++.dg/tm/
H A Dpr47530-2.C27 void bench_test() in bench_test() function
/dports/devel/arm-none-eabi-gcc492/gcc-4.9.2/gcc/testsuite/g++.dg/tm/
H A Dpr47530-2.C27 void bench_test() in bench_test() function
/dports/devel/riscv64-gcc/gcc-8.3.0/gcc/testsuite/g++.dg/tm/
H A Dpr47530-2.C27 void bench_test() in bench_test() function
/dports/lang/gnat_util/gcc-6-20180516/gcc/testsuite/g++.dg/tm/
H A Dpr47530-2.C27 void bench_test() in bench_test() function
/dports/devel/avr-gcc/gcc-10.2.0/gcc/testsuite/g++.dg/tm/
H A Dpr47530-2.C27 void bench_test() in bench_test() function
/dports/lang/gcc11-devel/gcc-11-20211009/gcc/testsuite/g++.dg/tm/
H A Dpr47530-2.C27 void bench_test() in bench_test() function
/dports/lang/gcc11/gcc-11.2.0/gcc/testsuite/g++.dg/tm/
H A Dpr47530-2.C27 void bench_test() in bench_test() function
/dports/devel/arm-none-eabi-gcc/gcc-8.4.0/gcc/testsuite/g++.dg/tm/
H A Dpr47530-2.C27 void bench_test() in bench_test() function
/dports/devel/riscv64-none-elf-gcc/gcc-8.4.0/gcc/testsuite/g++.dg/tm/
H A Dpr47530-2.C27 void bench_test() in bench_test() function
/dports/lang/gcc9-aux/gcc-9.1.0/gcc/testsuite/g++.dg/tm/
H A Dpr47530-2.C27 void bench_test() in bench_test() function
/dports/misc/cxx_atomics_pic/gcc-11.2.0/gcc/testsuite/g++.dg/tm/
H A Dpr47530-2.C27 void bench_test() in bench_test() function
/dports/lang/gcc10/gcc-10.3.0/gcc/testsuite/g++.dg/tm/
H A Dpr47530-2.C27 void bench_test() in bench_test() function

123456