Home
last modified time | relevance | path

Searched refs:bit_ (Results 1 – 25 of 185) sorted by relevance

12345678

/dports/multimedia/libv4l/linux-5.13-rc2/drivers/pinctrl/aspeed/
H A Dpinctrl-aspeed.h37 #define ASPEED_SB_PINCONF(param_, pin0_, pin1_, reg_, bit_) { \ argument
41 .mask = BIT_MASK(bit_) \
44 #define ASPEED_PULL_DOWN_PINCONF(pin_, reg_, bit_) \ argument
45 ASPEED_SB_PINCONF(PIN_CONFIG_BIAS_PULL_DOWN, pin_, pin_, reg_, bit_), \
46 ASPEED_SB_PINCONF(PIN_CONFIG_BIAS_DISABLE, pin_, pin_, reg_, bit_)
48 #define ASPEED_PULL_UP_PINCONF(pin_, reg_, bit_) \ argument
49 ASPEED_SB_PINCONF(PIN_CONFIG_BIAS_PULL_UP, pin_, pin_, reg_, bit_), \
50 ASPEED_SB_PINCONF(PIN_CONFIG_BIAS_DISABLE, pin_, pin_, reg_, bit_)
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/pinctrl/aspeed/
H A Dpinctrl-aspeed.h37 #define ASPEED_SB_PINCONF(param_, pin0_, pin1_, reg_, bit_) { \ argument
41 .mask = BIT_MASK(bit_) \
44 #define ASPEED_PULL_DOWN_PINCONF(pin_, reg_, bit_) \ argument
45 ASPEED_SB_PINCONF(PIN_CONFIG_BIAS_PULL_DOWN, pin_, pin_, reg_, bit_), \
46 ASPEED_SB_PINCONF(PIN_CONFIG_BIAS_DISABLE, pin_, pin_, reg_, bit_)
48 #define ASPEED_PULL_UP_PINCONF(pin_, reg_, bit_) \ argument
49 ASPEED_SB_PINCONF(PIN_CONFIG_BIAS_PULL_UP, pin_, pin_, reg_, bit_), \
50 ASPEED_SB_PINCONF(PIN_CONFIG_BIAS_DISABLE, pin_, pin_, reg_, bit_)
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/pinctrl/aspeed/
H A Dpinctrl-aspeed.h37 #define ASPEED_SB_PINCONF(param_, pin0_, pin1_, reg_, bit_) { \ argument
41 .mask = BIT_MASK(bit_) \
44 #define ASPEED_PULL_DOWN_PINCONF(pin_, reg_, bit_) \ argument
45 ASPEED_SB_PINCONF(PIN_CONFIG_BIAS_PULL_DOWN, pin_, pin_, reg_, bit_), \
46 ASPEED_SB_PINCONF(PIN_CONFIG_BIAS_DISABLE, pin_, pin_, reg_, bit_)
48 #define ASPEED_PULL_UP_PINCONF(pin_, reg_, bit_) \ argument
49 ASPEED_SB_PINCONF(PIN_CONFIG_BIAS_PULL_UP, pin_, pin_, reg_, bit_), \
50 ASPEED_SB_PINCONF(PIN_CONFIG_BIAS_DISABLE, pin_, pin_, reg_, bit_)
/dports/devel/folly/folly-2021.12.27.00/folly/container/detail/
H A DBitIteratorDetail.h38 BitReference(Ref r, size_t bit) : ref_(r), bit_(bit) {} in BitReference()
40 /* implicit */ operator bool() const { return ref_ & (one_ << bit_); }
51 void set() { ref_ |= (one_ << bit_); } in set()
53 void clear() { ref_ &= ~(one_ << bit_); } in clear()
55 void flip() { ref_ ^= (one_ << bit_); } in flip()
62 size_t bit_; variable
/dports/security/keybase/client-v5.7.1/shared/ios/Pods/Folly/folly/container/detail/
H A DBitIteratorDetail.h38 BitReference(Ref r, size_t bit) : ref_(r), bit_(bit) {} in BitReference()
41 return ref_ & (one_ << bit_);
54 ref_ |= (one_ << bit_); in set()
58 ref_ &= ~(one_ << bit_); in clear()
62 ref_ ^= (one_ << bit_); in flip()
70 size_t bit_; variable
/dports/math/p5-Math-Prime-Util/Math-Prime-Util-0.73/
H A Dsieve.h113 unsigned char bit_, s_ = sieve_[d_] | clearprev30[p-d_*30]; \
124 bit_ = nextzero30[s_]; \
125 s_ |= 1 << bit_; \
126 p = base_ + wheel30[bit_]; \
173 unsigned char s_, bit_; \
189 bit_ = nextzero30[s_]; \
190 s_ |= 1 << bit_; \
191 p = d_*30 + wheel30[bit_]; \
/dports/cad/iverilog/verilog-11.0/vvp/
H A Dnpmos.cc64 vvp_vector8_t out (bit_.size()); in generate_output_()
68 vvp_scalar_t b_bit = bit_.value(idx); in generate_output_()
117 bit_ = bit; in recv_vec8()
136 bit_ = bit; in recv_vec8()
188 vvp_vector8_t out (bit_.size()); in generate_output_()
193 vvp_scalar_t b_bit = bit_.value(idx); in generate_output_()
237 bit_ = bit; in recv_vec8()
256 bit_ = bit; in recv_vec8()
H A Dbufif.cc40 bit_ = inv_? ~bit : bit; in recv_vec4()
53 vvp_bit4_t b_bit = bit_.value(idx); in recv_vec4()
H A Dnpmos.h63 vvp_vector8_t bit_; variable
126 vvp_vector8_t bit_; variable
/dports/devel/fbthrift/fbthrift-2021.12.27.00/thrift/lib/cpp2/
H A DFieldRef.h72 bitSet_.set(bit_);
85 const uint8_t bit_; variable
144 : value_(other.value_), is_set_(other.is_set_), bit_(other.bit_) {}
152 is_set_[bit_] = true; in noexcept()
160 is_set_[bit_] = true; in noexcept()
177 return is_set_[bit_]; in has_value()
231 const uint8_t bit_; variable
356 : value_(other.value_), is_set_(other.is_set_), bit_(other.bit_) {}
365 : value_(other.value_), is_set_(other.is_set_), bit_(other.bit_) {}
405 is_set_[bit_] = other.is_set_[other.bit_]; in move_from()
[all …]
/dports/devel/libsigrokdecode/libsigrokdecode-0.5.3/decoders/rgb_led_ws281x/
H A Dpd.py97 bit_ = True if tH >= 625e-9 else False
99 self.bits.append(bit_)
102 self.put(self.ss, self.es, self.out_ann, [0, ['%d' % bit_]])
117 bit_ = (duty / period) > 0.5
120 [0, ['%d' % bit_]])
122 self.bits.append(bit_)
/dports/sysutils/fluent-bit/fluent-bit-1.8.11/
H A Dupdate_version.sh32 sed -i "s/PV = \"[0-9]\+.[0-9]\+.[0-9]\+\"/PV = \"$1\"/g" fluent-bit_*.*.*.bb
33 git mv fluent-bit_*.*.*.bb fluent-bit_$1.bb
/dports/games/quadra/quadra-1.3.0/include/
H A Dgame_menu.h33 Bitmap *bit_; variable
59 Bitmap *bit_; variable
84 Bitmap *bit_; variable
98 Bitmap *bit_; variable
/dports/www/chromium-legacy/chromium-88.0.4324.182/gpu/command_buffer/tests/
H A Dfuzzer_main.cc201 bool value = !!(data_[offset_] & (1u << bit_)); in GetBit()
202 if (++bit_ == 8) { in GetBit()
203 bit_ = 0; in GetBit()
210 bit_ += bits; in Advance()
211 offset_ += bit_ / 8; in Advance()
214 bit_ = 0; in Advance()
216 bit_ = bit_ % 8; in Advance()
220 size_t consumed_bytes() const { return offset_ + (bit_ + 7) / 8; } in consumed_bytes()
226 size_t bit_ = 0; member in gpu::__anon8bf440270111::BitIterator
/dports/games/quadra/quadra-1.3.0/source/
H A Dgame_menu.cpp43 bit_ = bit; in Create_game()
259 call(new Create_game_start(pal, bit_, inter->font)); in step()
279 bit_ = bit; in Create_game_start()
304 bit_, font_)); in init()
314 call(new Create_game_end(pal, bit_, font_)); in init()
361 bit_ = bit; in Join_game()
392 …m(tube, "Unable to establish network connection. Try another TCP/IP address.", bit_, inter->font)); in init()
406 …m(tube, "Unable to establish network connection. Try another TCP/IP address.", bit_, inter->font)); in step()
460 exec(new Join_download(bit_, inter->font, font2_, pal, rejoin)); in net_call()
483 bit_ = bit; in Join_download()
[all …]
H A Dzone.cpp211 bit2_ = bit_; in Zone_menu()
213 bit_ = new Bitmap((*fond)[py]+px, bit2_->width, bit2_->height, fond->surface->pitch); in Zone_menu()
214 actual = bit_; in Zone_menu()
221 delete bit_; in ~Zone_menu()
H A Dmenu.cpp435 bit_ = bit; in Menu_multi_join()
502 bit_, inter->font)); in init()
547 call(new Menu_multi_checkip(bit_, inter->font, font2_, pal)); in step()
550 call(new Menu_multi_book(bit_, inter->font, font2_, pal, NULL)); in step()
728 "the server instead.", parent->bit_, in find_local_games()
752 (void)new Zone_bitmap(inter, parent->bit_, 0, 0); in step()
781 new Zone_bitmap(inter, parent->bit_, 0, 0); in Menu_multi_internet()
784 cancel = new Zone_text_button2(inter, parent->bit_, parent->font2_, in Menu_multi_internet()
805 parent->bit_, inter->font)); in init()
2031 bit_ = bit; in Menu_multi_checkip()
[all …]
/dports/devel/lua-bitlib/bitlib-24/
H A Dlbitlib.c15 static int bit_ ## name(lua_State* L) { \
21 static int bit_ ## name(lua_State* L) { \
27 static int bit_ ## name(lua_State *L) { \
/dports/textproc/redisearch/RediSearch-2.2.5/src/rmutil/
H A Dargs.h147 #define AC_MKBITFLAG(name_, target_, bit_) \ argument
148 .name = name_, .target = target_, .type = AC_ARGTYPE_BITFLAG, .slicelen = bit_
150 #define AC_MKUNFLAG(name_, target_, bit_) \ argument
151 .name = name_, .target = target_, .type = AC_ARGTYPE_UNFLAG, .slicelen = bit_
/dports/textproc/redisearch20/RediSearch-2.0.15/src/rmutil/
H A Dargs.h147 #define AC_MKBITFLAG(name_, target_, bit_) \ argument
148 .name = name_, .target = target_, .type = AC_ARGTYPE_BITFLAG, .slicelen = bit_
150 #define AC_MKUNFLAG(name_, target_, bit_) \ argument
151 .name = name_, .target = target_, .type = AC_ARGTYPE_UNFLAG, .slicelen = bit_
/dports/textproc/redisearch16/RediSearch-1.6.16/src/rmutil/
H A Dargs.h147 #define AC_MKBITFLAG(name_, target_, bit_) \ argument
148 .name = name_, .target = target_, .type = AC_ARGTYPE_BITFLAG, .slicelen = bit_
150 #define AC_MKUNFLAG(name_, target_, bit_) \ argument
151 .name = name_, .target = target_, .type = AC_ARGTYPE_UNFLAG, .slicelen = bit_
/dports/databases/xtrabackup8/percona-xtrabackup-8.0.14/mysql-test/suite/rpl/r/
H A Drpl_row_jsondiff_datatypes.result35 bit_ BIT DEFAULT 1,
132 …ullint IS NULL AND emptystring='' AND quotestring='\\\'"`$%_\b\n\r\t' AND bit_=b'1' AND bit_50_=b'…
143 …ullint IS NULL AND emptystring='' AND quotestring='\\\'"`$%_\b\n\r\t' AND bit_=b'1' AND bit_50_=b'…
154 …ullint IS NULL AND emptystring='' AND quotestring='\\\'"`$%_\b\n\r\t' AND bit_=b'1' AND bit_50_=b'…
160 UPDATE t SET j = JSON_SET(j, '$[1]', bit_ ) WHERE i = 1
198 …ullint IS NULL AND emptystring='' AND quotestring='\\\'"`$%_\b\n\r\t' AND bit_=b'1' AND bit_50_=b'…
209 …ullint IS NULL AND emptystring='' AND quotestring='\\\'"`$%_\b\n\r\t' AND bit_=b'1' AND bit_50_=b'…
220 …ullint IS NULL AND emptystring='' AND quotestring='\\\'"`$%_\b\n\r\t' AND bit_=b'1' AND bit_50_=b'…
231 …ullint IS NULL AND emptystring='' AND quotestring='\\\'"`$%_\b\n\r\t' AND bit_=b'1' AND bit_50_=b'…
242 …ullint IS NULL AND emptystring='' AND quotestring='\\\'"`$%_\b\n\r\t' AND bit_=b'1' AND bit_50_=b'…
[all …]
/dports/biology/ncbi-cxx-toolkit/ncbi_cxx--25_2_0/include/util/bitset/
H A Dbm.h307 if (bd.bit_.ptr != ib_db.bit_.ptr) return false; in compare_state()
308 if (bd.bit_.idx != ib_db.bit_.idx) return false; in compare_state()
309 if (bd.bit_.cnt != ib_db.bit_.cnt) return false; in compare_state()
310 if (bd.bit_.pos != ib_db.bit_.pos) return false; in compare_state()
313 if (bd.bit_.bits[i] != ib_db.bit_.bits[i]) return false; in compare_state()
7004 this->position_ = bdescr->bit_.pos + bdescr->bit_.bits[idx]; in go_up()
7043 this->position_ = bdescr->bit_.pos + bdescr->bit_.bits[idx]; in skip()
7170 bdescr->bit_.cnt = bm::bitscan_wave(bdescr->bit_.ptr, bdescr->bit_.bits); in go_to()
7173 bdescr->bit_.idx = 0; in go_to()
7252 bdescr->bit_.cnt = bm::bitscan_wave(bdescr->bit_.ptr, bdescr->bit_.bits); in decode_wave()
[all …]
/dports/biology/ncbi-blast+/ncbi-blast-2.12.0+-src/c++/include/util/bitset/
H A Dbm.h307 if (bd.bit_.ptr != ib_db.bit_.ptr) return false; in compare_state()
308 if (bd.bit_.idx != ib_db.bit_.idx) return false; in compare_state()
309 if (bd.bit_.cnt != ib_db.bit_.cnt) return false; in compare_state()
310 if (bd.bit_.pos != ib_db.bit_.pos) return false; in compare_state()
313 if (bd.bit_.bits[i] != ib_db.bit_.bits[i]) return false; in compare_state()
7004 this->position_ = bdescr->bit_.pos + bdescr->bit_.bits[idx]; in go_up()
7043 this->position_ = bdescr->bit_.pos + bdescr->bit_.bits[idx]; in skip()
7170 bdescr->bit_.cnt = bm::bitscan_wave(bdescr->bit_.ptr, bdescr->bit_.bits); in go_to()
7173 bdescr->bit_.idx = 0; in go_to()
7252 bdescr->bit_.cnt = bm::bitscan_wave(bdescr->bit_.ptr, bdescr->bit_.bits); in decode_wave()
[all …]
/dports/cad/iverilog/verilog-11.0/tgt-vhdl/
H A Dcast.cc336 return new vhdl_const_int(bit_ == '1' ? 1 : 0); in to_integer()
341 return new vhdl_const_bool(bit_ == '1'); in to_boolean()
352 return (new vhdl_const_bits(&bit_, 1, name == VHDL_TYPE_SIGNED))->resize(w); in to_vector()

12345678