Home
last modified time | relevance | path

Searched refs:class_name (Results 1 – 25 of 6546) sorted by relevance

12345678910>>...262

/dports/devel/gdb/gdb-11.1/gdb/testsuite/gdb.linespec/
H A Dcpls-ops.exp53 proc test_operator_new {class_name brackets} {
78 "$cmd_prefix ${class_name}::operator new " \
91 "${class_name}::operator new${brackets}" \
149 "${class_name}::operator delete${brackets}" \
178 proc test_operator_unique {class_name opn cls} {
183 set start [index_after "${class_name}" $line]
207 "${class_name}::operator${opn}${cls}" \
208 "${class_name}::operator ${opn}${cls}" \
237 "${class_name}::operator${opn}${cls}(int)" \
257 "${class_name}::operator${opn}${cls}(int)" \
[all …]
/dports/misc/dartsim/dart-6.11.1/dart/common/
H A DMemory.hpp90 #define _DART_DEFINE_OBJECT_CREATOR(class_name, func_name, ptr_type, creator) \ argument
92 static ptr_type<class_name> func_name(Args&&... args) \
94 return creator<class_name>(std::forward<Args>(args)...); \
100 class_name, func_name, ptr_type, creator) \ argument
111 class_name(const private_structure&, Args&&... args) \
112 : class_name(std::forward<Args>(args)...) \
198 DART_DEFINE_UNIQUE_OBJECT_CREATOR(class_name)
212 DART_DEFINE_UNIQUE_OBJECT_CREATOR(class_name)
215 DART_DEFINE_OBJECT_CREATORS(class_name)
224 DART_DEFINE_UNIQUE_OBJECT_CREATOR_FOR_PROTECTED_CTOR(class_name)
[all …]
/dports/www/chromium-legacy/chromium-88.0.4324.182/ui/views/metadata/
H A Dmetadata_macros_internal.h16 #define METADATA_CLASS_NAME_INTERNAL(class_name) class_name##_MetaData argument
17 #define METADATA_FUNCTION_PREFIX_INTERNAL(class_name) \ argument
18 class_name::METADATA_CLASS_NAME_INTERNAL(class_name)
21 #define METADATA_ACCESSORS_INTERNAL(class_name) \ argument
29 #define METADATA_ACCESSORS_INTERNAL_BASE(class_name) \ argument
36 #define METADATA_CLASS_INTERNAL(class_name, file, line) \ argument
37 class METADATA_CLASS_NAME_INTERNAL(class_name) \
40 using ViewClass = class_name; \
41 explicit METADATA_CLASS_NAME_INTERNAL(class_name)() \
89 const char class_name::kViewClassName[] = #class_name; \
[all …]
/dports/devel/upnp/pupnp-release-1.14.12/upnp/generator/
H A Dgenerator.c218 class_name, m->name, class_name in write_prototype()
233 class_name, m->name, class_name, in write_prototype()
235 class_name, m->name, class_name, in write_prototype()
237 class_name, m->name, class_name in write_prototype()
260 class_name, m->name, class_name, in write_prototype()
262 class_name, m->name, class_name, in write_prototype()
264 class_name, m->name, class_name, in write_prototype()
266 class_name, m->name, class_name, in write_prototype()
268 class_name, m->name, class_name, in write_prototype()
272 class_name, m->name, class_name in write_prototype()
[all …]
/dports/lang/php73/php-7.3.33/ext/spl/
H A Dspl_functions.h26 #define REGISTER_SPL_STD_CLASS(class_name, obj_ctor) \ argument
27 spl_register_std_class(&spl_ce_ ## class_name, # class_name, obj_ctor, NULL);
30 spl_register_std_class(&spl_ce_ ## class_name, # class_name, obj_ctor, funcs);
33 …spl_register_sub_class(&spl_ce_ ## class_name, spl_ce_ ## parent_class_name, # class_name, obj_cto…
35 #define REGISTER_SPL_INTERFACE(class_name) \ argument
36 spl_register_interface(&spl_ce_ ## class_name, # class_name, spl_funcs_ ## class_name);
38 #define REGISTER_SPL_IMPLEMENTS(class_name, interface_name) \ argument
41 #define REGISTER_SPL_ITERATOR(class_name) \ argument
69 #define SPL_ME(class_name, function_name, arg_info, flags) \ argument
75 #define SPL_METHOD(class_name, function_name) \ argument
[all …]
/dports/lang/php74/php-7.4.27/ext/spl/
H A Dspl_functions.h26 #define REGISTER_SPL_STD_CLASS(class_name, obj_ctor) \ argument
27 spl_register_std_class(&spl_ce_ ## class_name, # class_name, obj_ctor, NULL);
30 spl_register_std_class(&spl_ce_ ## class_name, # class_name, obj_ctor, funcs);
33 …spl_register_sub_class(&spl_ce_ ## class_name, spl_ce_ ## parent_class_name, # class_name, obj_cto…
35 #define REGISTER_SPL_INTERFACE(class_name) \ argument
36 spl_register_interface(&spl_ce_ ## class_name, # class_name, spl_funcs_ ## class_name);
38 #define REGISTER_SPL_IMPLEMENTS(class_name, interface_name) \ argument
41 #define REGISTER_SPL_ITERATOR(class_name) \ argument
69 #define SPL_ME(class_name, function_name, arg_info, flags) \ argument
75 #define SPL_METHOD(class_name, function_name) \ argument
[all …]
/dports/www/mod_php73/php-7.3.33/ext/spl/
H A Dspl_functions.h26 #define REGISTER_SPL_STD_CLASS(class_name, obj_ctor) \ argument
27 spl_register_std_class(&spl_ce_ ## class_name, # class_name, obj_ctor, NULL);
30 spl_register_std_class(&spl_ce_ ## class_name, # class_name, obj_ctor, funcs);
33 …spl_register_sub_class(&spl_ce_ ## class_name, spl_ce_ ## parent_class_name, # class_name, obj_cto…
35 #define REGISTER_SPL_INTERFACE(class_name) \ argument
36 spl_register_interface(&spl_ce_ ## class_name, # class_name, spl_funcs_ ## class_name);
38 #define REGISTER_SPL_IMPLEMENTS(class_name, interface_name) \ argument
41 #define REGISTER_SPL_ITERATOR(class_name) \ argument
69 #define SPL_ME(class_name, function_name, arg_info, flags) \ argument
75 #define SPL_METHOD(class_name, function_name) \ argument
[all …]
/dports/www/mod_php74/php-7.4.27/ext/spl/
H A Dspl_functions.h26 #define REGISTER_SPL_STD_CLASS(class_name, obj_ctor) \ argument
27 spl_register_std_class(&spl_ce_ ## class_name, # class_name, obj_ctor, NULL);
30 spl_register_std_class(&spl_ce_ ## class_name, # class_name, obj_ctor, funcs);
33 …spl_register_sub_class(&spl_ce_ ## class_name, spl_ce_ ## parent_class_name, # class_name, obj_cto…
35 #define REGISTER_SPL_INTERFACE(class_name) \ argument
36 spl_register_interface(&spl_ce_ ## class_name, # class_name, spl_funcs_ ## class_name);
38 #define REGISTER_SPL_IMPLEMENTS(class_name, interface_name) \ argument
41 #define REGISTER_SPL_ITERATOR(class_name) \ argument
69 #define SPL_ME(class_name, function_name, arg_info, flags) \ argument
75 #define SPL_METHOD(class_name, function_name) \ argument
[all …]
/dports/multimedia/mkvtoolnix/mkvtoolnix-65.0.0/tests/test.d/
H A Dcontroller.rb51 …sults.exist?(class_name) && ((@test_failed && (@results.status?(class_name) == :failed)) || (…
56 test_this ? class_name : nil
60 end.compact.sort_by { |class_name| @results.duration? class_name }
92 class_name = @tests_to_run.shift
95 break unless class_name
96 self.run_test class_name
106 def run_test(class_name) argument
126 expected_results = @results.exist?(class_name) ? @results.hash?(class_name).split(/-/) : nil
135 if (!@results.exist? class_name)
162 self.add_result class_name, :failed, :message => " #{class_name} FAILED: no result from test"
[all …]
/dports/www/ilias/ILIAS-5.4.25/Modules/Cloud/classes/
H A Dclass.ilCloudConnector.php73 * @param string $class_name
83 return "ilCloudPlugin" . $class_name;
114 return new $class_name($service_name, $obj_id);
125 return new $class_name($service_name);
136 return new $class_name($plugin_service_class);
147 return new $class_name($plugin_service_class);
158 return new $class_name($plugin_service_class);
169 return new $class_name($plugin_service_class);
192 return new $class_name($plugin_service_class);
203 return new $class_name($plugin_service_class);
[all …]
/dports/www/ilias6/ILIAS-6.14/Modules/Cloud/classes/
H A Dclass.ilCloudConnector.php77 * @param string $class_name
90 return "ilCloudPlugin" . $class_name;
128 return new $class_name($service_name, $obj_id);
142 return new $class_name($service_name);
156 return new $class_name($plugin_service_class);
170 return new $class_name($plugin_service_class);
184 return new $class_name($plugin_service_class);
198 return new $class_name($plugin_service_class);
227 return new $class_name($plugin_service_class);
241 return new $class_name($plugin_service_class);
[all …]
/dports/www/ilias6/ILIAS-6.14/src/GlobalScreen/
H A DSingletonTrait.php18 * @param string $class_name
22 private function get(string $class_name) argument
24 if (!$this->has($class_name)) {
25 self::$services[$class_name] = new $class_name();
28 return self::$services[$class_name];
33 * @param string $class_name
39 if (!$this->has($class_name)) {
40 self::$services[$class_name] = new $class_name($argument);
48 * @param string $class_name
56 if (!$this->has($class_name)) {
[all …]
/dports/textproc/zorba/zorba-2.7.0/src/zorbaserialization/
H A Dclass_serializer.h188 SERIALIZABLE_CLASS_FACTORY_DECL(class_name, new class_name(ar)) \
215 class_name::class_factory<class_name> class_name::g_class_factory; \
217 SERIALIZE_INTERNAL_METHOD(class_name)
224 class_name::class_factory<class_name> class_name::g_class_factory; \
226 SERIALIZE_INTERNAL_METHOD(class_name)
254 SERIALIZABLE_CLASS_FACTORY_DECL(class_name, new class_name(ar))
320 #define SERIALIZABLE_CLASS_CONSTRUCTOR(class_name) \ argument
321 class_name(::zorba::serialization::Archiver& ar) \
327 #define SERIALIZABLE_CLASS_CONSTRUCTOR2(class_name, base_class) \ argument
328 class_name(::zorba::serialization::Archiver& ar) \
[all …]
/dports/japanese/timidity++-slang/TiMidity++-2.15.0/script/
H A Ddllutl.rb45 class_name = "default_name"
47 class_name = gets.chomp
84 printf "extern int load_%s(void);\n",class_name
91 printf "\nstatic struct %s_ {\n",class_name
95 printf "} %s;\n\n",class_name
107 ",class_name,class_name,class_name,class_name
115 ",class_name,class_name,class_name,dll_name,class_name
117 printf "\t%s.%s = (type_%s)GetProcAddress(h_%s,\"%s\");\n",class_name,i[1],i[1],class_name,i[1]
118 printf "\tif(!%s.%s){ free_%s(); return -1; }\n",class_name,i[1],class_name
126 ",i[0],i[1],i[2],class_name
[all …]
/dports/audio/ocp/ocp-0.2.90/playgmi/timidity-git/script/
H A Ddllutl.rb45 class_name = "default_name"
47 class_name = gets.chomp
84 printf "extern int load_%s(void);\n",class_name
91 printf "\nstatic struct %s_ {\n",class_name
95 printf "} %s;\n\n",class_name
107 ",class_name,class_name,class_name,class_name
115 ",class_name,class_name,class_name,dll_name,class_name
117 printf "\t%s.%s = (type_%s)GetProcAddress(h_%s,\"%s\");\n",class_name,i[1],i[1],class_name,i[1]
118 printf "\tif(!%s.%s){ free_%s(); return -1; }\n",class_name,i[1],class_name
126 ",i[0],i[1],i[2],class_name
[all …]
/dports/audio/timidity++-slang/TiMidity++-2.15.0/script/
H A Ddllutl.rb45 class_name = "default_name"
47 class_name = gets.chomp
84 printf "extern int load_%s(void);\n",class_name
91 printf "\nstatic struct %s_ {\n",class_name
95 printf "} %s;\n\n",class_name
107 ",class_name,class_name,class_name,class_name
115 ",class_name,class_name,class_name,dll_name,class_name
117 printf "\t%s.%s = (type_%s)GetProcAddress(h_%s,\"%s\");\n",class_name,i[1],i[1],class_name,i[1]
118 printf "\tif(!%s.%s){ free_%s(); return -1; }\n",class_name,i[1],class_name
126 ",i[0],i[1],i[2],class_name
[all …]
/dports/audio/timidity++/TiMidity++-2.15.0/script/
H A Ddllutl.rb45 class_name = "default_name"
47 class_name = gets.chomp
84 printf "extern int load_%s(void);\n",class_name
91 printf "\nstatic struct %s_ {\n",class_name
95 printf "} %s;\n\n",class_name
107 ",class_name,class_name,class_name,class_name
115 ",class_name,class_name,class_name,dll_name,class_name
117 printf "\t%s.%s = (type_%s)GetProcAddress(h_%s,\"%s\");\n",class_name,i[1],i[1],class_name,i[1]
118 printf "\tif(!%s.%s){ free_%s(); return -1; }\n",class_name,i[1],class_name
126 ",i[0],i[1],i[2],class_name
[all …]
/dports/audio/timidity++-emacs/TiMidity++-2.15.0/script/
H A Ddllutl.rb45 class_name = "default_name"
47 class_name = gets.chomp
84 printf "extern int load_%s(void);\n",class_name
91 printf "\nstatic struct %s_ {\n",class_name
95 printf "} %s;\n\n",class_name
107 ",class_name,class_name,class_name,class_name
115 ",class_name,class_name,class_name,dll_name,class_name
117 printf "\t%s.%s = (type_%s)GetProcAddress(h_%s,\"%s\");\n",class_name,i[1],i[1],class_name,i[1]
118 printf "\tif(!%s.%s){ free_%s(); return -1; }\n",class_name,i[1],class_name
126 ",i[0],i[1],i[2],class_name
[all …]
/dports/audio/timidity++-xskin/TiMidity++-2.15.0/script/
H A Ddllutl.rb45 class_name = "default_name"
47 class_name = gets.chomp
84 printf "extern int load_%s(void);\n",class_name
91 printf "\nstatic struct %s_ {\n",class_name
95 printf "} %s;\n\n",class_name
107 ",class_name,class_name,class_name,class_name
115 ",class_name,class_name,class_name,dll_name,class_name
117 printf "\t%s.%s = (type_%s)GetProcAddress(h_%s,\"%s\");\n",class_name,i[1],i[1],class_name,i[1]
118 printf "\tif(!%s.%s){ free_%s(); return -1; }\n",class_name,i[1],class_name
126 ",i[0],i[1],i[2],class_name
[all …]
/dports/audio/timidity++-xaw/TiMidity++-2.15.0/script/
H A Ddllutl.rb45 class_name = "default_name"
47 class_name = gets.chomp
84 printf "extern int load_%s(void);\n",class_name
91 printf "\nstatic struct %s_ {\n",class_name
95 printf "} %s;\n\n",class_name
107 ",class_name,class_name,class_name,class_name
115 ",class_name,class_name,class_name,dll_name,class_name
117 printf "\t%s.%s = (type_%s)GetProcAddress(h_%s,\"%s\");\n",class_name,i[1],i[1],class_name,i[1]
118 printf "\tif(!%s.%s){ free_%s(); return -1; }\n",class_name,i[1],class_name
126 ",i[0],i[1],i[2],class_name
[all …]
/dports/audio/timidity++-gtk/TiMidity++-2.15.0/script/
H A Ddllutl.rb45 class_name = "default_name"
47 class_name = gets.chomp
84 printf "extern int load_%s(void);\n",class_name
91 printf "\nstatic struct %s_ {\n",class_name
95 printf "} %s;\n\n",class_name
107 ",class_name,class_name,class_name,class_name
115 ",class_name,class_name,class_name,dll_name,class_name
117 printf "\t%s.%s = (type_%s)GetProcAddress(h_%s,\"%s\");\n",class_name,i[1],i[1],class_name,i[1]
118 printf "\tif(!%s.%s){ free_%s(); return -1; }\n",class_name,i[1],class_name
126 ",i[0],i[1],i[2],class_name
[all …]
/dports/audio/timidity++-motif/TiMidity++-2.15.0/script/
H A Ddllutl.rb45 class_name = "default_name"
47 class_name = gets.chomp
84 printf "extern int load_%s(void);\n",class_name
91 printf "\nstatic struct %s_ {\n",class_name
95 printf "} %s;\n\n",class_name
107 ",class_name,class_name,class_name,class_name
115 ",class_name,class_name,class_name,dll_name,class_name
117 printf "\t%s.%s = (type_%s)GetProcAddress(h_%s,\"%s\");\n",class_name,i[1],i[1],class_name,i[1]
118 printf "\tif(!%s.%s){ free_%s(); return -1; }\n",class_name,i[1],class_name
126 ",i[0],i[1],i[2],class_name
[all …]
/dports/www/joomla3/joomla3-3.9.24/libraries/fof/autoloader/
H A Dcomponent.php239 $this->class_alias($alt_class, $class_name);
243 elseif (!class_exists($class_name))
275 if (strpos($class_name, 'Model') === false)
347 $this->class_alias($alt_class, $class_name);
351 elseif (!class_exists($class_name))
383 if (strpos($class_name, 'View') === false)
484 elseif (!class_exists($class_name))
525 if (strpos($class_name, 'Table') === false)
589 elseif (!class_exists($class_name))
621 if (strpos($class_name, 'Helper') === false)
[all …]
/dports/lang/php80/php-8.0.15/ext/spl/
H A Dspl_functions.h24 #define REGISTER_SPL_STD_CLASS(class_name, obj_ctor) \ argument
25 spl_register_std_class(&spl_ce_ ## class_name, # class_name, obj_ctor, NULL);
27 #define REGISTER_SPL_STD_CLASS_EX(class_name, obj_ctor, funcs) \ argument
28 spl_register_std_class(&spl_ce_ ## class_name, # class_name, obj_ctor, funcs);
31 …spl_register_sub_class(&spl_ce_ ## class_name, spl_ce_ ## parent_class_name, # class_name, obj_cto…
33 #define REGISTER_SPL_INTERFACE(class_name) \ argument
34 spl_register_interface(&spl_ce_ ## class_name, # class_name, class_ ## class_name ## _methods);
36 #define REGISTER_SPL_IMPLEMENTS(class_name, interface_name) \ argument
39 #define REGISTER_SPL_ITERATOR(class_name) \ argument
40 zend_class_implements(spl_ce_ ## class_name, 1, zend_ce_iterator);
[all …]
/dports/www/mod_php80/php-8.0.15/ext/spl/
H A Dspl_functions.h24 #define REGISTER_SPL_STD_CLASS(class_name, obj_ctor) \ argument
25 spl_register_std_class(&spl_ce_ ## class_name, # class_name, obj_ctor, NULL);
27 #define REGISTER_SPL_STD_CLASS_EX(class_name, obj_ctor, funcs) \ argument
28 spl_register_std_class(&spl_ce_ ## class_name, # class_name, obj_ctor, funcs);
31 …spl_register_sub_class(&spl_ce_ ## class_name, spl_ce_ ## parent_class_name, # class_name, obj_cto…
33 #define REGISTER_SPL_INTERFACE(class_name) \ argument
34 spl_register_interface(&spl_ce_ ## class_name, # class_name, class_ ## class_name ## _methods);
36 #define REGISTER_SPL_IMPLEMENTS(class_name, interface_name) \ argument
39 #define REGISTER_SPL_ITERATOR(class_name) \ argument
40 zend_class_implements(spl_ce_ ## class_name, 1, zend_ce_iterator);
[all …]

12345678910>>...262