Home
last modified time | relevance | path

Searched refs:count_width (Results 1 – 25 of 74) sorted by relevance

123

/dports/www/zola/zola-0.15.2/cargo-crates/nom-tracable-0.5.2/src/
H A Dlib.rs67 count_width: usize,
88 count_width: 10,
134 self.count_width = x;
551 count_width = info.count_width
557 count_width = info.count_width
563 count_width = info.count_width
589 count_width = info.count_width
595 count_width = info.count_width
662 count_width = info.count_width
668 count_width = info.count_width
[all …]
/dports/sysutils/xfce4-netload-plugin/xfce4-netload-plugin-1.4.0/panel-plugin/
H A Dmonitor-label.c33 gint count_width; member
56 label->count_width = 0; in xnlp_monitor_label_init()
85 label->count_width = 0; in cb_label_changed()
87 else if (label->count_width > 10) in cb_label_changed()
90 label->count_width = 0; in cb_label_changed()
95 label->count_width++; in cb_label_changed()
162 label->count_width = 0; in xnlp_monitor_label_reinit_size_request()
/dports/graphics/p5-Image-Pngslimmer/Image-Pngslimmer-0.30/lib/Image/
H A DPngslimmer.pm385 my $count_width = 0;
420 $count_width++;
422 $count_width = 0;
436 my $count_width = 0;
469 $count_width++;
471 $count_width = 0;
486 my $count_width = 0;
533 $count_width++;
535 $count_width = 0;
548 my $count_width = 0;
[all …]
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/clocksource/
H A Dmips-gic-timer.c194 unsigned int count_width; in __gic_clocksource_init() local
198 count_width = read_gic_config() & GIC_CONFIG_COUNTBITS; in __gic_clocksource_init()
199 count_width >>= __ffs(GIC_CONFIG_COUNTBITS); in __gic_clocksource_init()
200 count_width *= 4; in __gic_clocksource_init()
201 count_width += 32; in __gic_clocksource_init()
202 gic_clocksource.mask = CLOCKSOURCE_MASK(count_width); in __gic_clocksource_init()
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/clocksource/
H A Dmips-gic-timer.c194 unsigned int count_width; in __gic_clocksource_init() local
198 count_width = read_gic_config() & GIC_CONFIG_COUNTBITS; in __gic_clocksource_init()
199 count_width >>= __ffs(GIC_CONFIG_COUNTBITS); in __gic_clocksource_init()
200 count_width *= 4; in __gic_clocksource_init()
201 count_width += 32; in __gic_clocksource_init()
202 gic_clocksource.mask = CLOCKSOURCE_MASK(count_width); in __gic_clocksource_init()
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/clocksource/
H A Dmips-gic-timer.c194 unsigned int count_width; in __gic_clocksource_init() local
198 count_width = read_gic_config() & GIC_CONFIG_COUNTBITS; in __gic_clocksource_init()
199 count_width >>= __ffs(GIC_CONFIG_COUNTBITS); in __gic_clocksource_init()
200 count_width *= 4; in __gic_clocksource_init()
201 count_width += 32; in __gic_clocksource_init()
202 gic_clocksource.mask = CLOCKSOURCE_MASK(count_width); in __gic_clocksource_init()
/dports/comms/aldo/aldo-0.7.7/src/
H A Dcheck.cc162 size_t count_width = width_base_10(lks.size() * lks.front().size()); in display_symbol_rate() local
171 cout.width(count_width); in display_symbol_rate()
173 cout.width(count_width); in display_symbol_rate()
175 cout.width(count_width); in display_symbol_rate()
177 cout.width(count_width); in display_symbol_rate()
198 cout.width(count_width); in display_symbol_rate()
200 cout.width(count_width); in display_symbol_rate()
202 cout.width(count_width); in display_symbol_rate()
/dports/converters/recode/Recode-3.6/src/
H A Dtestdump.c214 unsigned count_width; in produce_count() local
223 count_width = strlen (buffer); in produce_count()
230 if (column + count_width + non_count_width > 80) in produce_count()
243 printf ("%*d %.4X", count_width, (*cursor)->count, character); in produce_count()
253 column += count_width + non_count_width; in produce_count()
/dports/cad/py-pymtl/pymtl3-3.1.6/pymtl3/passes/backends/verilog/tbgen/test/
H A DVerilogTBGenPass_test.py40 def construct( s, data_width, num_entries, count_width ): argument
41 s.count = OutPort( mk_bits( count_width ) )
53 count_width = clog2(num_entries+1))
/dports/math/moab/fathomteam-moab-7bde9dfb84a8/tools/
H A Dsize.cpp300 unsigned count_width = 5; in print_stats() local
307 if (count_width < node_count_width) in print_stats()
308 count_width = node_count_width; in print_stats()
322 if (cw > count_width) in print_stats()
323 count_width = cw; in print_stats()
359 assert(term_width > 7 + type_width + count_width + total_width); in print_stats()
363 term_width -= count_width; in print_stats()
371 count_width, "count", in print_stats()
380 printf( "%*s ", count_width, dashes(count_width) ); in print_stats()
404 count_width, s.count, in print_stats()
[all …]
/dports/games/openttd/openttd-12.1/src/
H A Ddepot_gui.cpp315 int image_left = rtl ? left + this->count_width : left + this->header_width; in DrawVehicleInDepot()
316 int image_right = rtl ? right - this->header_width : right - this->count_width; in DrawVehicleInDepot()
333 …wString(rtl ? left + WD_FRAMERECT_LEFT : right - this->count_width, rtl ? left + this->count_width in DrawVehicleInDepot()
383 int image_left = rtl ? r.left + this->count_width : r.left + this->header_width; in DrawWidget()
384 int image_right = rtl ? r.right - this->header_width : r.right - this->count_width; in DrawWidget()
519 …if (xm >= matrix_widget->current_x - this->count_width) return wagon ? MODE_ERROR : MODE_SHOW_VEHI… in GetVehicleFromDepotWndPt()
645 uint count_width; member
659 …this->count_width = GetStringBoundingBox(STR_TINY_BLACK_DECIMAL).width + WD_FRAMERECT_LEFT + WD_FR… in UpdateWidgetSize()
661 this->count_width = 0; in UpdateWidgetSize()
677 int base_width = this->count_width + this->header_width; in UpdateWidgetSize()
[all …]
/dports/cad/py-pymtl/pymtl3-3.1.6/pymtl3/passes/backends/verilog/import_/test/
H A DImportedObject_test.py159 def construct( s, data_width, num_entries, count_width ): argument
160 s.count = OutPort( mk_bits( count_width ) )
171 count_width = clog2(num_entries+1))
262 def construct( s, data_width, num_entries, count_width ): argument
263 s.count = OutPort( mk_bits( count_width ) )
270 count_width = clog2(num_entries+1))
/dports/biology/artemis/artemis-17.0.1-11-g3e43d27e/uk/ac/sanger/artemis/io/
H A DEmblStreamSequence.java276 final int count_width = string_base_count.length(); in writeToStream() local
280 char_index < LINE_WIDTH - count_width - line_length_so_far ; in writeToStream()
/dports/math/py-jax/jax-0.2.9/jax/
H A Djaxpr_util.py119 count_width = max(len(str(v)) for v in histogram.values())
120 count_fmt = '{:>' + str(count_width) + 'd}'
/dports/cad/yosys/yosys-yosys-0.12/passes/techmap/
H A Dextract_counter.cc246 int count_width; in counter_tryextract() local
248 count_width = cell->getParam(ID::A_WIDTH).as_int(); in counter_tryextract()
250 count_width = cell->getParam(ID::B_WIDTH).as_int(); in counter_tryextract()
251 extract.width = count_width; in counter_tryextract()
252 if( (count_width < settings.minwidth) || (count_width > settings.maxwidth) ) in counter_tryextract()
/dports/security/silktools/silk-3.19.1/src/libsilk/
H A Dsknetstruct.c180 int count_width; member
1153 ns->count_width, ns->cblock.v4[i].cb_sum, in netStructureAddKeyCounterV4()
1221 ns->count_width, *count, ns->count_eol_delim); in netStructureAddKeyCounterV4()
1326 ns->count_width, sum_buf, in netStructureAddKeyCounterV6()
1336 ns->count_width, sum_buf, in netStructureAddKeyCounterV6()
1395 ns->count_width, *count, ns->count_eol_delim); in netStructureAddKeyCounterV6()
1499 ns->count_width = 15; in netStructureInitialize()
2089 ns->count_width = 0; in netStructurePreparePrint()
2196 ns->count_width, 0, ns->count_eol_delim); in netStructurePrintEmpty()
2201 ns->count_width, 0, ns->count_eol_delim); in netStructurePrintEmpty()
[all …]
/dports/news/golded+/golded-plus/golded+/golded3/
H A Dgearea.cpp58 int count_width = 6; variable
231 countwidth = MinV(countwidth, count_width); in dispbuf()
241 memcpy(buf+count_pos+count_width-countwidth, countbuf, countwidth); in dispbuf()
390 if(count_width) in open()
391 wmessage(LNG->Msgs, TP_BORD, 1+count_pos+count_width-strlen(LNG->Msgs), tattr); in open()
H A Dgeglob.h69 extern int count_width;
H A Dgeinit.cpp996 if(not count_found) count_width = 0; in Initialize()
1005 count_width - pmark_width - unread_width - changed_width - in Initialize()
1023 case 'C': count_found = true; if(isdigit(d)) count_width = w; break; in Initialize()
1040 case 'C': count_pos = pos; pos += count_width; break; in Initialize()
/dports/multimedia/gstreamer1-plugins-svt-av1/SVT-AV1-3971c982311d49f9355dc8dccdcf8d21b70fa624/Source/Lib/Common/ASM_SSE2/
H A DEbPackUnPack_Intrinsic_SSE2.c830 uint32_t count_width, count_height; in svt_enc_msb_pack2d_sse2_intrin() local
979 for (count_width = 0; count_width < width; count_width += 8) { in svt_enc_msb_pack2d_sse2_intrin()
1003 for (count_width = 0; count_width < width; count_width += 4) { in svt_enc_msb_pack2d_sse2_intrin()
/dports/multimedia/svt-av1/SVT-AV1-3971c982311d49f9355dc8dccdcf8d21b70fa624/Source/Lib/Common/ASM_SSE2/
H A DEbPackUnPack_Intrinsic_SSE2.c830 uint32_t count_width, count_height; in svt_enc_msb_pack2d_sse2_intrin() local
979 for (count_width = 0; count_width < width; count_width += 8) { in svt_enc_msb_pack2d_sse2_intrin()
1003 for (count_width = 0; count_width < width; count_width += 4) { in svt_enc_msb_pack2d_sse2_intrin()
/dports/www/chromium-legacy/chromium-88.0.4324.182/chrome/browser/ui/views/bookmarks/
H A Dbookmark_drag_drop_views.cc131 int count_width = render_text->GetStringSize().width(); in Draw() local
133 std::max(kCountContainerRadius * 2, count_width + 2 * kCountPadding); in Draw()
/dports/multimedia/v4l-utils/linux-5.13-rc2/arch/csky/kernel/
H A Dperf_event.c43 uint32_t count_width; member
928 hw_raw_read_mapping[hwc->idx](), csky_pmu.count_width - 1); in csky_perf_event_update()
1306 &csky_pmu.count_width)) { in csky_pmu_device_probe()
1307 csky_pmu.count_width = DEFAULT_COUNT_WIDTH; in csky_pmu_device_probe()
1309 csky_pmu.max_period = BIT_ULL(csky_pmu.count_width) - 1; in csky_pmu_device_probe()
/dports/multimedia/v4l_compat/linux-5.13-rc2/arch/csky/kernel/
H A Dperf_event.c43 uint32_t count_width; member
928 hw_raw_read_mapping[hwc->idx](), csky_pmu.count_width - 1); in csky_perf_event_update()
1306 &csky_pmu.count_width)) { in csky_pmu_device_probe()
1307 csky_pmu.count_width = DEFAULT_COUNT_WIDTH; in csky_pmu_device_probe()
1309 csky_pmu.max_period = BIT_ULL(csky_pmu.count_width) - 1; in csky_pmu_device_probe()
/dports/multimedia/libv4l/linux-5.13-rc2/arch/csky/kernel/
H A Dperf_event.c43 uint32_t count_width; member
928 hw_raw_read_mapping[hwc->idx](), csky_pmu.count_width - 1); in csky_perf_event_update()
1306 &csky_pmu.count_width)) { in csky_pmu_device_probe()
1307 csky_pmu.count_width = DEFAULT_COUNT_WIDTH; in csky_pmu_device_probe()
1309 csky_pmu.max_period = BIT_ULL(csky_pmu.count_width) - 1; in csky_pmu_device_probe()

123