Home
last modified time | relevance | path

Searched refs:cpp1 (Results 1 – 25 of 247) sorted by relevance

12345678910

/dports/games/avp/avp-20170505/src/
H A Dvdb.c148 ClipPlanePoints.cpp1.vz = NearZ; in VDBClipPlanes()
165 ClipPlanePoints.cpp1.vz = NearZ; in VDBClipPlanes()
259 x=cpp->cpp1.vx; in MakeClipPlane()
261 x*=cpp->cpp1.vz; in MakeClipPlane()
263 cpp->cpp1.vx=x; in MakeClipPlane()
267 y=cpp->cpp1.vy; in MakeClipPlane()
269 y*=cpp->cpp1.vz; in MakeClipPlane()
271 cpp->cpp1.vy=y; in MakeClipPlane()
313 cpb->CPB_POP.vx=cpp->cpp1.vx; in MakeClipPlane()
314 cpb->CPB_POP.vy=cpp->cpp1.vy; in MakeClipPlane()
[all …]
/dports/games/wesnoth/wesnoth-1.14.17/po/
H A Dpot-update.sh.in25 sed s/charset=CHARSET/charset=UTF-8/ $DOMAIN.cpp.po > $DOMAIN.cpp1.po
32 if test -f $DOMAIN.wml.po -a -f $DOMAIN.cpp1.po ; then
33 msgcat --sort-by-file $DOMAIN.cpp1.po $DOMAIN.wml.po -o $DOMAIN.po
37 mv $DOMAIN.cpp1.po $DOMAIN.po
40 rm -f $DOMAIN.cpp.po $DOMAIN.cpp1.po $DOMAIN.wml.po
/dports/math/libflame/libflame-5.2.0/src/map/lapack2flamec/f2c/c/
H A Dslaed1.c159 integer i__, k, n1, n2, is, iw, iz, iq2, cpp1, indx, indxc, indxp; in slaed1_() local
236 cpp1 = *cutpnt + 1; in slaed1_()
238 scopy_(&i__1, &q[cpp1 + cpp1 * q_dim1], ldq, &work[iz + *cutpnt], &c__1); in slaed1_()
/dports/lang/gcc6-aux/gcc-6-20180516/gcc/testsuite/gnat.dg/specs/
H A Dcpp1.ads3 package cpp1 is packspec
10 end cpp1;
/dports/lang/gcc12-devel/gcc-12-20211205/gcc/testsuite/gnat.dg/specs/
H A Dcpp1.ads3 package cpp1 is packspec
10 end cpp1;
/dports/lang/gcc8/gcc-8.5.0/gcc/testsuite/gnat.dg/specs/
H A Dcpp1.ads3 package cpp1 is packspec
10 end cpp1;
/dports/lang/gcc10/gcc-10.3.0/gcc/testsuite/gnat.dg/specs/
H A Dcpp1.ads3 package cpp1 is packspec
10 end cpp1;
/dports/devel/riscv64-none-elf-gcc/gcc-8.4.0/gcc/testsuite/gnat.dg/specs/
H A Dcpp1.ads3 package cpp1 is packspec
10 end cpp1;
/dports/devel/arm-none-eabi-gcc/gcc-8.4.0/gcc/testsuite/gnat.dg/specs/
H A Dcpp1.ads3 package cpp1 is packspec
10 end cpp1;
/dports/lang/gcc11-devel/gcc-11-20211009/gcc/testsuite/gnat.dg/specs/
H A Dcpp1.ads3 package cpp1 is packspec
10 end cpp1;
/dports/lang/gcc9-devel/gcc-9-20211007/gcc/testsuite/gnat.dg/specs/
H A Dcpp1.ads3 package cpp1 is packspec
10 end cpp1;
/dports/devel/riscv32-unknown-elf-gcc/gcc-8.4.0/gcc/testsuite/gnat.dg/specs/
H A Dcpp1.ads3 package cpp1 is packspec
10 end cpp1;
/dports/devel/aarch64-none-elf-gcc/gcc-8.4.0/gcc/testsuite/gnat.dg/specs/
H A Dcpp1.ads3 package cpp1 is packspec
10 end cpp1;
/dports/lang/gcc48/gcc-4.8.5/gcc/testsuite/gnat.dg/specs/
H A Dcpp1.ads3 package cpp1 is packspec
10 end cpp1;
/dports/devel/arm-none-eabi-gcc492/gcc-4.9.2/gcc/testsuite/gnat.dg/specs/
H A Dcpp1.ads3 package cpp1 is packspec
10 end cpp1;
/dports/devel/avr-gcc/gcc-10.2.0/gcc/testsuite/gnat.dg/specs/
H A Dcpp1.ads3 package cpp1 is packspec
10 end cpp1;
/dports/lang/gnat_util/gcc-6-20180516/gcc/testsuite/gnat.dg/specs/
H A Dcpp1.ads3 package cpp1 is packspec
10 end cpp1;
/dports/devel/riscv64-gcc/gcc-8.3.0/gcc/testsuite/gnat.dg/specs/
H A Dcpp1.ads3 package cpp1 is packspec
10 end cpp1;
/dports/lang/gcc11/gcc-11.2.0/gcc/testsuite/gnat.dg/specs/
H A Dcpp1.ads3 package cpp1 is packspec
10 end cpp1;
/dports/lang/gcc10-devel/gcc-10-20211008/gcc/testsuite/gnat.dg/specs/
H A Dcpp1.ads3 package cpp1 is packspec
10 end cpp1;
/dports/lang/gcc9/gcc-9.4.0/gcc/testsuite/gnat.dg/specs/
H A Dcpp1.ads3 package cpp1 is packspec
10 end cpp1;
/dports/misc/cxx_atomics_pic/gcc-11.2.0/gcc/testsuite/gnat.dg/specs/
H A Dcpp1.ads3 package cpp1 is packspec
10 end cpp1;
/dports/lang/gcc9-aux/gcc-9.1.0/gcc/testsuite/gnat.dg/specs/
H A Dcpp1.ads3 package cpp1 is packspec
10 end cpp1;
/dports/devel/mingw32-gcc/gcc-4.8.1/gcc/testsuite/gnat.dg/specs/
H A Dcpp1.ads3 package cpp1 is packspec
10 end cpp1;
/dports/math/polymake/polymake-4.5/apps/polytope/scripts/
H A Dcentral_path_program.pl43 my $cpp1 = fill_inequalities_cpp(1);
44 my $F1 = $cpp1->FACETS;
45 my $V1 = $cpp1->VERTICES;

12345678910