Home
last modified time | relevance | path

Searched refs:current_bit (Results 1 – 25 of 241) sorted by relevance

12345678910

/dports/math/e-antic/e-antic-1.0.0-rc.13/libeantic/upstream/antic/nmod_poly/
H A Dbit_unpack.c26 ulong current_bit = 0, current_limb = 0; in _nmod_poly_bit_unpack() local
37 if (current_bit > boundary_limit_bit) in _nmod_poly_bit_unpack()
47 current_bit += bits - FLINT_BITS; in _nmod_poly_bit_unpack()
56 current_bit += bits; in _nmod_poly_bit_unpack()
58 if (current_bit == FLINT_BITS) in _nmod_poly_bit_unpack()
60 current_bit = 0; in _nmod_poly_bit_unpack()
87 if (current_bit == 0) in _nmod_poly_bit_unpack()
127 if (current_bit == FLINT_BITS) in _nmod_poly_bit_unpack()
129 current_bit = 0; in _nmod_poly_bit_unpack()
143 if (current_bit == 0) in _nmod_poly_bit_unpack()
[all …]
H A Dbit_pack.c25 ulong current_bit = 0, current_limb = 0; in _nmod_poly_bit_pack() local
37 if (current_bit > boundary_limit_bit) in _nmod_poly_bit_pack()
48 current_bit += bits - FLINT_BITS; in _nmod_poly_bit_pack()
56 current_bit += bits; in _nmod_poly_bit_pack()
58 if (current_bit == FLINT_BITS) in _nmod_poly_bit_pack()
63 current_bit = 0; in _nmod_poly_bit_pack()
92 current_bit += bits - FLINT_BITS; in _nmod_poly_bit_pack()
94 if (current_bit >= FLINT_BITS) in _nmod_poly_bit_pack()
96 current_bit -= FLINT_BITS; in _nmod_poly_bit_pack()
117 if (current_bit >= FLINT_BITS) in _nmod_poly_bit_pack()
[all …]
/dports/math/e-antic/flint2-ae7ec89/nmod_poly/
H A Dbit_unpack.c26 ulong current_bit = 0, current_limb = 0; in _nmod_poly_bit_unpack() local
37 if (current_bit > boundary_limit_bit) in _nmod_poly_bit_unpack()
47 current_bit += bits - FLINT_BITS; in _nmod_poly_bit_unpack()
56 current_bit += bits; in _nmod_poly_bit_unpack()
58 if (current_bit == FLINT_BITS) in _nmod_poly_bit_unpack()
60 current_bit = 0; in _nmod_poly_bit_unpack()
87 if (current_bit == 0) in _nmod_poly_bit_unpack()
127 if (current_bit == FLINT_BITS) in _nmod_poly_bit_unpack()
129 current_bit = 0; in _nmod_poly_bit_unpack()
143 if (current_bit == 0) in _nmod_poly_bit_unpack()
[all …]
H A Dbit_pack.c25 ulong current_bit = 0, current_limb = 0; in _nmod_poly_bit_pack() local
37 if (current_bit > boundary_limit_bit) in _nmod_poly_bit_pack()
48 current_bit += bits - FLINT_BITS; in _nmod_poly_bit_pack()
56 current_bit += bits; in _nmod_poly_bit_pack()
58 if (current_bit == FLINT_BITS) in _nmod_poly_bit_pack()
63 current_bit = 0; in _nmod_poly_bit_pack()
92 current_bit += bits - FLINT_BITS; in _nmod_poly_bit_pack()
94 if (current_bit >= FLINT_BITS) in _nmod_poly_bit_pack()
96 current_bit -= FLINT_BITS; in _nmod_poly_bit_pack()
117 if (current_bit >= FLINT_BITS) in _nmod_poly_bit_pack()
[all …]
/dports/math/flint2/flint-2.8.4/nmod_poly/
H A Dbit_unpack.c26 ulong current_bit = 0, current_limb = 0; in _nmod_poly_bit_unpack() local
37 if (current_bit > boundary_limit_bit) in _nmod_poly_bit_unpack()
47 current_bit += bits - FLINT_BITS; in _nmod_poly_bit_unpack()
56 current_bit += bits; in _nmod_poly_bit_unpack()
58 if (current_bit == FLINT_BITS) in _nmod_poly_bit_unpack()
60 current_bit = 0; in _nmod_poly_bit_unpack()
87 if (current_bit == 0) in _nmod_poly_bit_unpack()
127 if (current_bit == FLINT_BITS) in _nmod_poly_bit_unpack()
129 current_bit = 0; in _nmod_poly_bit_unpack()
143 if (current_bit == 0) in _nmod_poly_bit_unpack()
[all …]
H A Dbit_pack.c25 ulong current_bit = 0, current_limb = 0; in _nmod_poly_bit_pack() local
37 if (current_bit > boundary_limit_bit) in _nmod_poly_bit_pack()
48 current_bit += bits - FLINT_BITS; in _nmod_poly_bit_pack()
56 current_bit += bits; in _nmod_poly_bit_pack()
58 if (current_bit == FLINT_BITS) in _nmod_poly_bit_pack()
63 current_bit = 0; in _nmod_poly_bit_pack()
92 current_bit += bits - FLINT_BITS; in _nmod_poly_bit_pack()
94 if (current_bit >= FLINT_BITS) in _nmod_poly_bit_pack()
96 current_bit -= FLINT_BITS; in _nmod_poly_bit_pack()
117 if (current_bit >= FLINT_BITS) in _nmod_poly_bit_pack()
[all …]
/dports/devel/allegro/allegro-4.4.3.1/addons/jpgalleg/src/
H A Dio.c38 if (_jpeg_io.current_bit < 8) { in _jpeg_getc()
43 _jpeg_io.current_bit = 8; in _jpeg_getc()
104 if (_jpeg_io.current_bit <= 0) { in _jpeg_get_bit()
116 _jpeg_io.current_bit = 8; in _jpeg_get_bit()
118 _jpeg_io.current_bit--; in _jpeg_get_bit()
130 _jpeg_io.current_bit--; in _jpeg_put_bit()
131 if (_jpeg_io.current_bit < 0) { in _jpeg_put_bit()
136 _jpeg_io.current_bit = 7; in _jpeg_put_bit()
149 while (_jpeg_io.current_bit < 7) in _jpeg_flush_bits()
162 _jpeg_io.current_bit = 8; in _jpeg_open_chunk()
[all …]
/dports/net/rabbiteer/rabbiteer-rs-c881238/cargo-crates/amq-proto-0.1.0/src/
H A Dcodegen_macros.rs13 current_bit: u8 field
22 self.current_bit = 0; in read_octet()
27 self.current_bit = 0; in read_long()
32 self.current_bit = 0; in read_longlong()
37 self.current_bit = 0; in read_short()
42 self.current_bit = 0; in read_shortstr()
50 self.current_bit = 0; in read_longstr()
58 self.current_bit = 0; in read_table()
63 self.current_bit = 0; in read_timestamp()
69 if self.current_bit == 0 || self.current_bit == 8 { in read_bit()
[all …]
/dports/www/firefox/firefox-99.0/third_party/rust/neqo-qpack/src/
H A Dhuffman.rs15 current_bit: u8, field
23 current_bit: 8, in new()
32 if self.current_bit == 0 { in read_bit()
37 self.current_bit = 8; in read_bit()
39 self.current_bit -= 1; in read_bit()
40 Ok((self.input[self.offset] >> self.current_bit) & 0x01) in read_bit()
44 if (i + self.current_bit) > 7 { in verify_ending()
52 } else if self.input[self.input.len() - 1] & ((0x1 << (i + self.current_bit)) - 1) in verify_ending()
53 == ((0x1 << (i + self.current_bit)) - 1) in verify_ending()
55 self.current_bit = 0; in verify_ending()
[all …]
/dports/mail/thunderbird/thunderbird-91.8.0/third_party/rust/neqo-qpack/src/
H A Dhuffman.rs15 current_bit: u8, field
23 current_bit: 8, in new()
32 if self.current_bit == 0 { in read_bit()
37 self.current_bit = 8; in read_bit()
39 self.current_bit -= 1; in read_bit()
40 Ok((self.input[self.offset] >> self.current_bit) & 0x01) in read_bit()
44 if (i + self.current_bit) > 7 { in verify_ending()
52 } else if self.input[self.input.len() - 1] & ((0x1 << (i + self.current_bit)) - 1) in verify_ending()
53 == ((0x1 << (i + self.current_bit)) - 1) in verify_ending()
55 self.current_bit = 0; in verify_ending()
[all …]
/dports/www/firefox-esr/firefox-91.8.0/third_party/rust/neqo-qpack/src/
H A Dhuffman.rs15 current_bit: u8, field
23 current_bit: 8, in new()
32 if self.current_bit == 0 { in read_bit()
37 self.current_bit = 8; in read_bit()
39 self.current_bit -= 1; in read_bit()
40 Ok((self.input[self.offset] >> self.current_bit) & 0x01) in read_bit()
44 if (i + self.current_bit) > 7 { in verify_ending()
52 } else if self.input[self.input.len() - 1] & ((0x1 << (i + self.current_bit)) - 1) in verify_ending()
53 == ((0x1 << (i + self.current_bit)) - 1) in verify_ending()
55 self.current_bit = 0; in verify_ending()
[all …]
/dports/games/openlierox/OpenLieroX/include/
H A DOLXG15.h157 int current_bit = 0; in drawXBM() local
165 current_bit = 0; in drawXBM()
166 while(current_bit < 8) in drawXBM()
170 …if((byte >> current_bit) & 1) g15r_setPixel(canvas, (current_bit + (z*8) + pos_x),y + pos_y,G15_CO… in drawXBM()
173 current_bit++; in drawXBM()
/dports/misc/xgboost/xgboost-1.5.1/cub/cub/device/dispatch/
H A Ddispatch_radix_sort.cuh319 current_bit, in DeviceRadixSortSingleTileKernel()
882 int &current_bit, in InvokePass()
904 current_bit, in InvokePass()
947 current_bit, in InvokePass()
958 current_bit += pass_bits; in InvokePass()
1116 int current_bit = begin_bit; in InvokePasses()
1331 int &current_bit, in InvokePass()
1350 current_bit, in InvokePass()
1370 current_bit += pass_bits; in InvokePass()
1468 current_bit, in InvokePasses()
[all …]
/dports/devel/libsigrokdecode/libsigrokdecode-0.5.3/decoders/st7735/
H A Dpd.py103 self.current_bit = -1
145 self.current_bit = mosi
147 if (clk == 0) and (self.current_bit >= 0):
150 [Ann.BITS, [str(self.current_bit)]])
151 self.accum_byte = (self.accum_byte << 1) | self.current_bit # MSB-first.
172 self.current_bit = -1
/dports/multimedia/libmpeg2/libmpeg2-0.5.1/src/
H A Dcorrupt_mpeg2.c67 static int current_chunk = -1, current_bit = 0, target_bit = 0x7fffffff; variable
235 corrupt->bit_stop >= current_bit) { in update_corrupt_list()
236 if (corrupt->bit_start >= current_bit + 8) { in update_corrupt_list()
242 if (corrupt->bit_stop >= current_bit + 7) { in update_corrupt_list()
248 target_bit = current_bit + 8; in update_corrupt_list()
250 if (corrupt->bit_start > current_bit) { in update_corrupt_list()
252 target_bit = current_bit + 8; in update_corrupt_list()
265 current_bit = 0; in corrupt()
267 } else if (current_bit == target_bit) in corrupt()
270 current_bit += 8; in corrupt()
/dports/emulators/fs-uae/fs-uae-3.1.35/libmpeg2/src/
H A Dcorrupt_mpeg2.c67 static int current_chunk = -1, current_bit = 0, target_bit = 0x7fffffff; variable
235 corrupt->bit_stop >= current_bit) { in update_corrupt_list()
236 if (corrupt->bit_start >= current_bit + 8) { in update_corrupt_list()
242 if (corrupt->bit_stop >= current_bit + 7) { in update_corrupt_list()
248 target_bit = current_bit + 8; in update_corrupt_list()
250 if (corrupt->bit_start > current_bit) { in update_corrupt_list()
252 target_bit = current_bit + 8; in update_corrupt_list()
265 current_bit = 0; in corrupt()
267 } else if (current_bit == target_bit) in corrupt()
270 current_bit += 8; in corrupt()
/dports/devel/wasi-libcxx/llvm-project-13.0.1.src/libc/utils/FPUtil/
H A DSqrtLongDoubleX86.h100 for (UIntType current_bit = One >> 1; current_bit; current_bit >>= 1) { variable
102 UIntType tmp = (y << 1) + current_bit; // 2*y(n - 1) + 2^(-n-1)
105 y += current_bit;
/dports/devel/llvm12/llvm-project-12.0.1.src/libc/utils/FPUtil/
H A DSqrtLongDoubleX86.h101 for (UIntType current_bit = One >> 1; current_bit; current_bit >>= 1) { variable
103 UIntType tmp = (y << 1) + current_bit; // 2*y(n - 1) + 2^(-n-1)
106 y += current_bit;
/dports/devel/llvm-devel/llvm-project-f05c95f10fc1d8171071735af8ad3a9e87633120/libc/src/__support/FPUtil/
H A DSqrtLongDoubleX86.h100 for (UIntType current_bit = One >> 1; current_bit; current_bit >>= 1) { variable
102 UIntType tmp = (y << 1) + current_bit; // 2*y(n - 1) + 2^(-n-1)
105 y += current_bit;
/dports/devel/wasi-compiler-rt13/llvm-project-13.0.1.src/libc/utils/FPUtil/
H A DSqrtLongDoubleX86.h100 for (UIntType current_bit = One >> 1; current_bit; current_bit >>= 1) { variable
102 UIntType tmp = (y << 1) + current_bit; // 2*y(n - 1) + 2^(-n-1)
105 y += current_bit;
/dports/devel/wasi-compiler-rt12/llvm-project-12.0.1.src/libc/utils/FPUtil/
H A DSqrtLongDoubleX86.h101 for (UIntType current_bit = One >> 1; current_bit; current_bit >>= 1) { variable
103 UIntType tmp = (y << 1) + current_bit; // 2*y(n - 1) + 2^(-n-1)
106 y += current_bit;
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/llvm/libc/utils/FPUtil/
H A DSqrtLongDoubleX86.h101 for (UIntType current_bit = One >> 1; current_bit; current_bit >>= 1) { variable
103 UIntType tmp = (y << 1) + current_bit; // 2*y(n - 1) + 2^(-n-1)
106 y += current_bit;
/dports/devel/llvm13/llvm-project-13.0.1.src/libc/utils/FPUtil/
H A DSqrtLongDoubleX86.h100 for (UIntType current_bit = One >> 1; current_bit; current_bit >>= 1) {
102 UIntType tmp = (y << 1) + current_bit; // 2*y(n - 1) + 2^(-n-1)
105 y += current_bit;
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/llvm/libc/src/math/
H A Dhypotf.cpp182 for (uint32_t current_bit = leading_one >> 1; current_bit; in LLVM_LIBC_ENTRYPOINT() local
183 current_bit >>= 1) { in LLVM_LIBC_ENTRYPOINT()
184 R = (R << 1) + ((tailBits & current_bit) ? 1 : 0); in LLVM_LIBC_ENTRYPOINT()
185 uint32_t tmp = (Y << 1) + current_bit; // 2*y(n - 1) + 2^(-n) in LLVM_LIBC_ENTRYPOINT()
188 Y += current_bit; in LLVM_LIBC_ENTRYPOINT()
/dports/math/suitesparse-klu/SuiteSparse-5.10.1/GraphBLAS/CUDA/local_cub/device/dispatch/
H A Ddispatch_radix_sort.cuh295 current_bit, in DeviceRadixSortSingleTileKernel()
952 int &current_bit, in InvokePass()
971 current_bit, in InvokePass()
1009 current_bit, in InvokePass()
1020 current_bit += pass_bits; in InvokePass()
1178 int current_bit = begin_bit; in InvokePasses()
1392 int &current_bit, in InvokePass()
1410 current_bit, pass_bits); in InvokePass()
1419 current_bit += pass_bits; in InvokePass()
1517 current_bit, in InvokePasses()
[all …]

12345678910