Home
last modified time | relevance | path

Searched refs:cyl (Results 1 – 25 of 1485) sorted by relevance

12345678910>>...60

/dports/lang/swi-pl/swipl-8.2.3/src/Tests/xsb/basic_tests/
H A Dtcyl.P1 cyl(1,30).
2 cyl(1,40).
3 cyl(2,43).
4 cyl(2,34).
5 cyl(3,30).
6 cyl(3,33).
7 cyl(4,45).
8 cyl(4,40).
9 cyl(5,31).
10 cyl(5,45).
[all …]
H A Dtcyl-24-24-2.P1 cyl(1,30).
2 cyl(1,40).
3 cyl(2,43).
4 cyl(2,34).
5 cyl(3,30).
6 cyl(3,33).
7 cyl(4,45).
8 cyl(4,40).
9 cyl(5,31).
10 cyl(5,45).
[all …]
/dports/lang/yap/yap-6.2.2/docs/index/Benchmarks/
H A Dsg_cyl.P28 cyl(1,30).
29 cyl(1,40).
30 cyl(2,43).
31 cyl(2,34).
32 cyl(3,30).
33 cyl(3,33).
34 cyl(4,45).
35 cyl(4,40).
36 cyl(5,31).
37 cyl(5,45).
[all …]
/dports/math/libccd/libccd-2.1/src/testsuites/
H A Dboxcyl.c10 ccdVec3Add(&cyl.pos, &dir); \
18 CCD_CYL(cyl); in TEST()
25 cyl.radius = 0.4; in TEST()
26 cyl.height = 0.7; in TEST()
32 ccdVec3Set(&cyl.pos, 0.1, 0., 0.); in TEST()
36 ccdVec3Set(&cyl.pos, .6, 0., 0.); in TEST()
40 ccdVec3Set(&cyl.pos, .6, 0.6, 0.); in TEST()
56 ccdVec3Set(&cyl.pos, .6, 0., 0.5); in TEST()
84 CCD_CYL(cyl); in TEST()
93 cyl.radius = 0.4; in TEST()
[all …]
H A Dmpr_boxcyl.c9 ccdVec3Add(&cyl.pos, &dir); \
16 CCD_CYL(cyl); in TEST()
23 cyl.radius = 0.4; in TEST()
24 cyl.height = 0.7; in TEST()
32 ccdVec3Set(&cyl.pos, 0.1, 0., 0.); in TEST()
36 ccdVec3Set(&cyl.pos, .6, 0., 0.); in TEST()
40 ccdVec3Set(&cyl.pos, .6, 0.6, 0.); in TEST()
56 ccdVec3Set(&cyl.pos, .6, 0., 0.5); in TEST()
85 CCD_CYL(cyl); in TEST()
94 cyl.radius = 0.4; in TEST()
[all …]
H A Dbench.c181 CCD_CYL(cyl); in boxcyl()
187 cyl.radius = 0.4; in boxcyl()
188 cyl.height = 0.7; in boxcyl()
194 runBench(&box, &cyl, &ccd); in boxcyl()
195 runBench(&cyl, &box, &ccd); in boxcyl()
198 runBench(&box, &cyl, &ccd); in boxcyl()
199 runBench(&cyl, &box, &ccd); in boxcyl()
202 runBench(&box, &cyl, &ccd); in boxcyl()
203 runBench(&cyl, &box, &ccd); in boxcyl()
206 runBench(&box, &cyl, &ccd); in boxcyl()
[all …]
H A Dbench2.c185 CCD_CYL(cyl); in boxcyl()
191 cyl.radius = 0.4; in boxcyl()
192 cyl.height = 0.7; in boxcyl()
200 runBench(&box, &cyl, &ccd); in boxcyl()
201 runBench(&cyl, &box, &ccd); in boxcyl()
204 runBench(&box, &cyl, &ccd); in boxcyl()
205 runBench(&cyl, &box, &ccd); in boxcyl()
208 runBench(&box, &cyl, &ccd); in boxcyl()
209 runBench(&cyl, &box, &ccd); in boxcyl()
212 runBench(&box, &cyl, &ccd); in boxcyl()
[all …]
/dports/devel/ode/ode-0.13/libccd/src/testsuites/
H A Dboxcyl.c10 ccdVec3Add(&cyl.pos, &dir); \
18 CCD_CYL(cyl); in TEST()
25 cyl.radius = 0.4; in TEST()
26 cyl.height = 0.7; in TEST()
32 ccdVec3Set(&cyl.pos, 0.1, 0., 0.); in TEST()
36 ccdVec3Set(&cyl.pos, .6, 0., 0.); in TEST()
40 ccdVec3Set(&cyl.pos, .6, 0.6, 0.); in TEST()
56 ccdVec3Set(&cyl.pos, .6, 0., 0.5); in TEST()
84 CCD_CYL(cyl); in TEST()
93 cyl.radius = 0.4; in TEST()
[all …]
H A Dmpr_boxcyl.c9 ccdVec3Add(&cyl.pos, &dir); \
16 CCD_CYL(cyl); in TEST()
23 cyl.radius = 0.4; in TEST()
24 cyl.height = 0.7; in TEST()
32 ccdVec3Set(&cyl.pos, 0.1, 0., 0.); in TEST()
36 ccdVec3Set(&cyl.pos, .6, 0., 0.); in TEST()
40 ccdVec3Set(&cyl.pos, .6, 0.6, 0.); in TEST()
56 ccdVec3Set(&cyl.pos, .6, 0., 0.5); in TEST()
85 CCD_CYL(cyl); in TEST()
94 cyl.radius = 0.4; in TEST()
[all …]
H A Dbench.c182 CCD_CYL(cyl); in boxcyl()
188 cyl.radius = 0.4; in boxcyl()
189 cyl.height = 0.7; in boxcyl()
195 runBench(&box, &cyl, &ccd); in boxcyl()
196 runBench(&cyl, &box, &ccd); in boxcyl()
199 runBench(&box, &cyl, &ccd); in boxcyl()
200 runBench(&cyl, &box, &ccd); in boxcyl()
203 runBench(&box, &cyl, &ccd); in boxcyl()
204 runBench(&cyl, &box, &ccd); in boxcyl()
207 runBench(&box, &cyl, &ccd); in boxcyl()
[all …]
H A Dbench2.c186 CCD_CYL(cyl); in boxcyl()
192 cyl.radius = 0.4; in boxcyl()
193 cyl.height = 0.7; in boxcyl()
201 runBench(&box, &cyl, &ccd); in boxcyl()
202 runBench(&cyl, &box, &ccd); in boxcyl()
205 runBench(&box, &cyl, &ccd); in boxcyl()
206 runBench(&cyl, &box, &ccd); in boxcyl()
209 runBench(&box, &cyl, &ccd); in boxcyl()
210 runBench(&cyl, &box, &ccd); in boxcyl()
213 runBench(&box, &cyl, &ccd); in boxcyl()
[all …]
/dports/devel/taskflow/taskflow-3.2.0/3rd-party/tbb/examples/parallel_for/tachyon/src/
H A Dcylinder.cpp97 rc.x = ry->o.x - cyl->ctr.x; in cylinder_intersect()
98 rc.y = ry->o.y - cyl->ctr.y; in cylinder_intersect()
129 s = fabs(sqrt(cyl->rad*cyl->rad - d*d) / s); in cylinder_intersect()
143 c=cyl->axis; in cylinder_normal()
149 b.x = c.x * t + cyl->ctr.x; in cylinder_normal()
150 b.y = c.y * t + cyl->ctr.y; in cylinder_normal()
151 b.z = c.z * t + cyl->ctr.z; in cylinder_normal()
235 s = fabs(sqrt(cyl->rad*cyl->rad - d*d) / s); in fcylinder_intersect()
240 ctmp4=cyl->axis; in fcylinder_intersect()
248 VDOT(tmp3, cyl->axis, cyl->axis); in fcylinder_intersect()
[all …]
/dports/devel/tbb/oneTBB-2020.3/examples/parallel_for/tachyon/src/
H A Dcylinder.cpp97 rc.x = ry->o.x - cyl->ctr.x; in cylinder_intersect()
98 rc.y = ry->o.y - cyl->ctr.y; in cylinder_intersect()
129 s = fabs(sqrt(cyl->rad*cyl->rad - d*d) / s); in cylinder_intersect()
143 c=cyl->axis; in cylinder_normal()
149 b.x = c.x * t + cyl->ctr.x; in cylinder_normal()
150 b.y = c.y * t + cyl->ctr.y; in cylinder_normal()
151 b.z = c.z * t + cyl->ctr.z; in cylinder_normal()
235 s = fabs(sqrt(cyl->rad*cyl->rad - d*d) / s); in fcylinder_intersect()
240 ctmp4=cyl->axis; in fcylinder_intersect()
248 VDOT(tmp3, cyl->axis, cyl->axis); in fcylinder_intersect()
[all …]
/dports/devel/onetbb/oneTBB-2021.4.0/examples/parallel_for/tachyon/src/
H A Dcylinder.cpp94 rc.x = ry->o.x - cyl->ctr.x; in cylinder_intersect()
104 VDOT(d, rc, cyl->axis); in cylinder_intersect()
126 s = fabs(sqrt(cyl->rad * cyl->rad - d * d) / s); in cylinder_intersect()
140 c = cyl->axis; in cylinder_normal()
146 b.x = c.x * t + cyl->ctr.x; in cylinder_normal()
147 b.y = c.y * t + cyl->ctr.y; in cylinder_normal()
148 b.z = c.z * t + cyl->ctr.z; in cylinder_normal()
231 s = fabs(sqrt(cyl->rad * cyl->rad - d * d) / s); in fcylinder_intersect()
236 ctmp4 = cyl->axis; in fcylinder_intersect()
244 VDOT(tmp3, cyl->axis, cyl->axis); in fcylinder_intersect()
[all …]
/dports/graphics/tachyon/tachyon/src/
H A Dcylinder.c54 rc.x = ry->o.x - cyl->ctr.x; in cylinder_intersect()
55 rc.y = ry->o.y - cyl->ctr.y; in cylinder_intersect()
93 s = fabs(sqrt(cyl->rad*cyl->rad - d*d) / s); in cylinder_intersect()
105 a.x = pnt->x - cyl->ctr.x; in cylinder_normal()
106 a.y = pnt->y - cyl->ctr.y; in cylinder_normal()
107 a.z = pnt->z - cyl->ctr.z; in cylinder_normal()
109 b=cyl->axis; in cylinder_normal()
214 s = fabs(sqrt(cyl->rad*cyl->rad - d*d) / s); in fcylinder_intersect()
219 ctmp4=cyl->axis; in fcylinder_intersect()
227 VDOT(tmp3, cyl->axis, cyl->axis); in fcylinder_intersect()
[all …]
/dports/devel/R-cran-tidyselect/tidyselect/inst/doc/
H A Dsyntax.R32 mtcars %>% select_loc(mpg:hp, -cyl, vs)
40 mtcars %>% select_loc(cyl:hp)
132 cyl <- 200 globalVar
135 mtcars %>% mask(cyl * foo)
138 mtcars %>% mask(!!cyl * foo)
139 mtcars %>% mask(.env$cyl * foo)
163 mtcars %>% select_loc(cyl^2)
180 mtcars %>% select_loc(cyl, cyl = mpg)
185 mtcars %>% rename_loc(cyl, cyl = mpg)
190 mtcars %>% select_loc(foo = cyl, cyl = mpg)
[all …]
/dports/misc/vxl/vxl-3.3.2/core/vgl/io/
H A Dvgl_io_cylinder.hxx15 void vsl_b_write(vsl_b_ostream &os, const vgl_cylinder_3d<T> & cyl) in vsl_b_write() argument
19 vsl_b_write(os, cyl.center()); in vsl_b_write()
20 vsl_b_write(os, cyl.radius()); in vsl_b_write()
21 vsl_b_write(os, cyl.length()); in vsl_b_write()
22 vsl_b_write(os, cyl.orientation()); in vsl_b_write()
27 void vsl_b_read(vsl_b_istream &is, vgl_cylinder_3d<T> & cyl) in vsl_b_read() argument
42 cyl.set_center(center); in vsl_b_read()
46 cyl.set_radius(radius); in vsl_b_read()
50 cyl.set_length(length); in vsl_b_read()
54 cyl.set_orientation(orient); in vsl_b_read()
[all …]
/dports/misc/vxl/vxl-3.3.2/core/vgl/
H A Dvgl_cylinder_3d.hxx13 bool vgl_cylinder_3d<T>::operator==(vgl_cylinder_3d<T> const& cyl) const in operator ==()
15 return (this==&cyl) || in operator ==()
16 ( cyl.center_ == this->center_ && in operator ==()
17 cyl.radius_ == this->radius_ && in operator ==()
18 cyl.length_ == this->length_ && in operator ==()
19 cyl.orient_ == this->orient_); in operator ==()
33 return cyl.print(os); in operator <<()
37 std::istream& operator>>(std::istream& s, vgl_cylinder_3d<T>& cyl) in operator >>() argument
41 cyl.set_center(vgl_point_3d<T>(center_x,center_y,center_z)); in operator >>()
42 cyl.set_radius(radius); in operator >>()
[all …]
/dports/devel/R-cran-magrittr/magrittr/tests/testthat/
H A Dtest-pipe.R3 out <- mtcars %>% identity() %$% (head(cyl) / mean(am))
9 data %<>% identity %$% (head(cyl) / mean(am))
81 withVisible(mtcars %>% { identity(.$cyl) }),
82 list(value = mtcars$cyl, visible = TRUE)
85 withVisible(mtcars %$% cyl),
86 list(value = mtcars$cyl, visible = TRUE)
90 list(value = mtcars$cyl, visible = TRUE)
95 list(value = mtcars$cyl, visible = FALSE)
98 withVisible(mtcars %$% invisible(cyl)),
99 list(value = mtcars$cyl, visible = FALSE)
[all …]
/dports/cad/opencascade/opencascade-7.6.0/tests/vselect/cone_cylinder/
H A Dsingle_click_selection_cylinder6 pcylinder cyl 10 20
7 vdisplay cyl -dispmode 1
10 if { ![string match "*Selected*" [vstate cyl]] } { puts "Error: cylinder should be selected" }
12 if { ![string match "*Selected*" [vstate cyl]] } { puts "Error: cylinder should be selected" }
14 if { ![string match "*Selected*" [vstate cyl]] } { puts "Error: cylinder should be selected" }
17 if { [string match "*Selected*" [vstate cyl]] } { puts "Error: cylinder should be unselected" }
19 if { [string match "*Selected*" [vstate cyl]] } { puts "Error: cylinder should be unselected" }
21 if { [string match "*Selected*" [vstate cyl]] } { puts "Error: cylinder should be unselected" }
24 if { ![string match "*Selected*" [vstate cyl]] } { puts "Error: cylinder should be selected" }
26 if { ![string match "*Selected*" [vstate cyl]] } { puts "Error: cylinder should be selected" }
[all …]
/dports/devel/R-cran-tidyselect/tidyselect/tests/testthat/
H A Dtest-rename.R11 rename_loc(mtcars, c(foo = cyl, bar = disp)),
18 rename_loc(mtcars, c(mpg = mpg, cyl = cyl)), nameattr
19 int(mpg = 1, cyl = 2)
25 rename(mtcars, c(disp = disp, cyl = cyl, mpg = mpg)), nameattr
32 rename_loc(mtcars, c(foo = cyl, bar = disp)),
39 rename_loc(mtcars, c(foo = cyl, foo = disp)),
43 rename_loc(mtcars, c(cyl = mpg, foo = disp)), nameattr
61 rename_loc(mtcars, c(cyl = mpg, foo = cyl)), nameattr
62 int(cyl = 1, foo = 2)
89 rename_loc(mtcars, c(foo = cyl, foo = disp))
H A Dtest-eval-c.R3 expect_identical(select_loc(mtcars, c(mpg:disp, -(mpg:cyl))), c(disp = 3L))
9 expect_identical(select_loc(mtcars, c(mpg, cyl, -mpg)), c(cyl = 2L)) nameattr
10 expect_identical(select_loc(mtcars, c(mpg, cyl, -mpg, -cyl)), set_names(int(), chr()))
11 expect_identical(select_loc(mtcars, c(mpg, cyl, -mpg, mpg, -cyl)), c(mpg = 1L))
18 expect_identical(fn(mpg, cyl), c(mpg = 1L, cyl = 2L)) nameattr
19 expect_identical(fn(mpg, cyl, disp), c(mpg = 1L, cyl = 2L, disp = 3L)) nameattr
26 expect_identical(select_loc(mtcars, c(foo = mpg:cyl)), set_names(1:2, c("foo1", "foo2")))
48 expect_identical(select_loc(mtcars, c(foo = c(mpg, cyl))), c(foo1 = 1L, foo2 = 2L))
49 …expect_identical(select_loc(mtcars, c(bar = c(foo = c(mpg, cyl)))), c(bar...foo1 = 1L, bar...foo2 …
53 expect_identical(select_loc(unclass(mtcars), c(foo = c(mpg, cyl))), c(foo = 1L, foo = 2L))
[all …]
/dports/science/chrono/chrono-7.0.1/src/chrono_vehicle/tracked_vehicle/suspension/
H A DChLinearDamperRWAssembly.cpp144 cyl->GetCylinderGeometry().p1 = m_pA; in AddVisualizationAssets()
145 cyl->GetCylinderGeometry().p2 = m_pAW; in AddVisualizationAssets()
147 m_arm->AddAsset(cyl); in AddVisualizationAssets()
152 cyl->GetCylinderGeometry().p1 = m_pA; in AddVisualizationAssets()
153 cyl->GetCylinderGeometry().p2 = m_pAC; in AddVisualizationAssets()
155 m_arm->AddAsset(cyl); in AddVisualizationAssets()
160 cyl->GetCylinderGeometry().p1 = m_pA; in AddVisualizationAssets()
163 m_arm->AddAsset(cyl); in AddVisualizationAssets()
172 m_arm->AddAsset(cyl); in AddVisualizationAssets()
179 cyl->GetCylinderGeometry().p1 = m_pO; in AddVisualizationAssets()
[all …]
/dports/graphics/rayshade/rayshade.4.0/libray/libobj/
H A Dcylinder.c36 Cylinder *cyl; local
56 return cyl;
83 Cylinder *cyl; in CylinderIntersect()
145 CylinderNormal(cyl, pos, nrm, gnrm) in CylinderNormal() argument
146 Cylinder *cyl; in CylinderNormal()
153 PointTransform(nrm, &cyl->trans.itrans);
170 Cylinder *cyl; in CylinderUV()
198 VecTransform(dpdu, &cyl->trans.trans);
199 VecTransform(dpdv, &cyl->trans.trans);
206 CylinderBounds(cyl, bounds) in CylinderBounds() argument
[all …]
/dports/games/arx-libertatis/arx-libertatis-1.1.2/src/physics/
H A DAnchors.cpp202 float minf = std::min(cyl->origin.y, cyl->origin.y + cyl->height); in ANCHOR_IsPolyInCylinder()
203 float maxf = std::max(cyl->origin.y, cyl->origin.y + cyl->height); in ANCHOR_IsPolyInCylinder()
346 ep = ANCHOR_CheckInPolyPrecis(cyl->origin.x, cyl->origin.y + cyl->height, cyl->origin.z); in ANCHOR_CheckAnythingInCylinder()
524 memcpy(&test.cyl, &ip->cyl, sizeof(EERIE_CYLINDER)); in ANCHOR_ARX_COLLISION_Move_Cylinder()
557 memcpy(&test.cyl, &ip->cyl, sizeof(EERIE_CYLINDER)); in ANCHOR_ARX_COLLISION_Move_Cylinder()
563 rpos = test.cyl.origin; in ANCHOR_ARX_COLLISION_Move_Cylinder()
569 memcpy(&test.cyl, &ip->cyl, sizeof(EERIE_CYLINDER)); in ANCHOR_ARX_COLLISION_Move_Cylinder()
590 ip->cyl.origin = lpos; in ANCHOR_ARX_COLLISION_Move_Cylinder()
595 ip->cyl.origin = rpos; in ANCHOR_ARX_COLLISION_Move_Cylinder()
601 ip->cyl.origin = lpos; in ANCHOR_ARX_COLLISION_Move_Cylinder()
[all …]

12345678910>>...60