Home
last modified time | relevance | path

Searched refs:datain (Results 1 – 25 of 846) sorted by relevance

12345678910>>...34

/dports/graphics/jogl/jogl-1.1.1_9/jogl/src/classes/com/sun/opengl/impl/mipmap/
H A DHalveImage.java76 datain.position( t ); in halveImage()
77 temp = datain.get(); in halveImage()
79 temp += datain.get(); in halveImage()
81 temp += datain.get(); in halveImage()
83 temp +=datain.get(); in halveImage()
120 datain.position( t ); in halveImage_ubyte()
229 datain.position( t ); in halveImage_byte()
230 temp = datain.get(); in halveImage_byte()
232 temp += datain.get(); in halveImage_byte()
269 temp = datain.get(); in halve1Dimage_byte()
[all …]
H A DScaleInternal.java215 datain.position( temp_index ); in scale_internal_ubyte()
230 datain.position( temp_index ); in scale_internal_ubyte()
239 datain.position( temp_index ); in scale_internal_ubyte()
252 datain.position( temp_index ); in scale_internal_ubyte()
262 datain.position( left ); in scale_internal_ubyte()
264 datain.position( right ); in scale_internal_ubyte()
480 datain.position( left ); in scale_internal_byte()
482 datain.position( right ); in scale_internal_byte()
545 totals[k] += datain.get(); in scale_internal_byte()
722 datain.position( left ); in scale_internal_ushort()
[all …]
/dports/graphics/jogamp-jogl/jogl/src/jogl/classes/jogamp/opengl/glu/mipmap/
H A DHalveImage.java76 datain.position( t ); in halveImage()
77 temp = datain.get(); in halveImage()
79 temp += datain.get(); in halveImage()
81 temp += datain.get(); in halveImage()
83 temp +=datain.get(); in halveImage()
120 datain.position( t ); in halveImage_ubyte()
229 datain.position( t ); in halveImage_byte()
230 temp = datain.get(); in halveImage_byte()
232 temp += datain.get(); in halveImage_byte()
269 temp = datain.get(); in halve1Dimage_byte()
[all …]
H A DScaleInternal.java226 datain.position( temp_index ); in scale_internal_ubyte()
241 datain.position( temp_index ); in scale_internal_ubyte()
250 datain.position( temp_index ); in scale_internal_ubyte()
263 datain.position( temp_index ); in scale_internal_ubyte()
273 datain.position( left ); in scale_internal_ubyte()
275 datain.position( right ); in scale_internal_ubyte()
500 datain.position( left ); in scale_internal_byte()
502 datain.position( right ); in scale_internal_byte()
565 totals[k] += datain.get(); in scale_internal_byte()
751 datain.position( left ); in scale_internal_ushort()
[all …]
/dports/cad/alliance/alliance/src/documentation/alliance-examples/mipsR3000/sce/
H A Dmips_core.ioc81 (IOPIN datain(31).0);
103 (IOPIN datain(9).0);
104 (IOPIN datain(8).0);
105 (IOPIN datain(7).0);
106 (IOPIN datain(6).0);
107 (IOPIN datain(5).0);
108 (IOPIN datain(4).0);
109 (IOPIN datain(3).0);
110 (IOPIN datain(2).0);
111 (IOPIN datain(1).0);
[all …]
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/target/iscsi/
H A Discsi_target_datain_values.c80 struct iscsi_datain *datain) in iscsit_set_datain_values_yes_and_yes() argument
122 datain->length = in iscsit_set_datain_values_yes_and_yes()
178 struct iscsi_datain *datain) in iscsit_set_datain_values_no_and_yes() argument
223 datain->offset = offset; in iscsit_set_datain_values_no_and_yes()
235 datain->length = in iscsit_set_datain_values_no_and_yes()
299 struct iscsi_datain *datain) in iscsit_set_datain_values_yes_and_no() argument
362 datain->flags = pdu->flags; in iscsit_set_datain_values_yes_and_no()
363 datain->length = pdu->length; in iscsit_set_datain_values_yes_and_no()
398 struct iscsi_datain *datain) in iscsit_set_datain_values_no_and_no() argument
465 datain->flags = pdu->flags; in iscsit_set_datain_values_no_and_no()
[all …]
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/target/iscsi/
H A Discsi_target_datain_values.c80 struct iscsi_datain *datain) in iscsit_set_datain_values_yes_and_yes() argument
122 datain->length = in iscsit_set_datain_values_yes_and_yes()
178 struct iscsi_datain *datain) in iscsit_set_datain_values_no_and_yes() argument
223 datain->offset = offset; in iscsit_set_datain_values_no_and_yes()
235 datain->length = in iscsit_set_datain_values_no_and_yes()
299 struct iscsi_datain *datain) in iscsit_set_datain_values_yes_and_no() argument
362 datain->flags = pdu->flags; in iscsit_set_datain_values_yes_and_no()
363 datain->length = pdu->length; in iscsit_set_datain_values_yes_and_no()
398 struct iscsi_datain *datain) in iscsit_set_datain_values_no_and_no() argument
465 datain->flags = pdu->flags; in iscsit_set_datain_values_no_and_no()
[all …]
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/target/iscsi/
H A Discsi_target_datain_values.c80 struct iscsi_datain *datain) in iscsit_set_datain_values_yes_and_yes() argument
122 datain->length = in iscsit_set_datain_values_yes_and_yes()
178 struct iscsi_datain *datain) in iscsit_set_datain_values_no_and_yes() argument
223 datain->offset = offset; in iscsit_set_datain_values_no_and_yes()
235 datain->length = in iscsit_set_datain_values_no_and_yes()
299 struct iscsi_datain *datain) in iscsit_set_datain_values_yes_and_no() argument
362 datain->flags = pdu->flags; in iscsit_set_datain_values_yes_and_no()
363 datain->length = pdu->length; in iscsit_set_datain_values_yes_and_no()
398 struct iscsi_datain *datain) in iscsit_set_datain_values_no_and_no() argument
465 datain->flags = pdu->flags; in iscsit_set_datain_values_no_and_no()
[all …]
/dports/deskutils/foliate/foliate-2.6.3/src/assets/KindleUnpack/
H A Dmobi_split.py44 def getint(datain,ofs,sz=b'L'): argument
48 def writeint(datain,ofs,n,len=b'L'): argument
50 return datain[:ofs]+struct.pack(b'>L',n)+datain[ofs+4:]
52 return datain[:ofs]+struct.pack(b'>H',n)+datain[ofs+2:]
54 def getsecaddr(datain,secno): argument
59 secend = len(datain)
64 def readsection(datain,secno): argument
66 return datain[secstart:secend]
94 datalst.append(datain[secend:])
296 datain = b''
[all …]
/dports/net/libiscsi/libiscsi-1.19.0/test-tool/
H A Dtest_inquiry_standard.c43 CU_ASSERT(task->datain.size >= 36); in test_inquiry_standard()
86 if (std_inq->additional_length > task->datain.size - 5) { in test_inquiry_standard()
89 task->datain.size - 5, in test_inquiry_standard()
98 task->datain.size - 5, in test_inquiry_standard()
102 if (!task->datain.data[i]) in test_inquiry_standard()
113 if (task->datain.data[i] == 0) { in test_inquiry_standard()
116 if (task->datain.data[i] >= 0x20 && task->datain.data[i] <= 0x7e) { in test_inquiry_standard()
129 if (task->datain.data[i] == 0) { in test_inquiry_standard()
132 if (task->datain.data[i] >= 0x20 && task->datain.data[i] <= 0x7e) { in test_inquiry_standard()
145 if (task->datain.data[i] == 0) { in test_inquiry_standard()
[all …]
H A Dtest_inquiry_block_limits.c72 CU_ASSERT_EQUAL(bl_task->datain.size, bl_task->datain.data[3] + 4); in test_inquiry_block_limits()
73 if (bl_task->datain.size != bl_task->datain.data[3] + 4) { in test_inquiry_block_limits()
76 bl_task->datain.data[3], bl_task->datain.size - 4); in test_inquiry_block_limits()
92 if (bl_task->datain.data[3] == 8) { in test_inquiry_block_limits()
100 } else if (bl_task->datain.size >= 60) { in test_inquiry_block_limits()
111 if (bl_task->datain.data[3] != 0x3c) { in test_inquiry_block_limits()
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/timing/
H A Dtime_sender.v26 reg [7:0] datain; register
36 (.datain({k,datain}),.dispin(disp_reg),
93 {k,datain} <= 0;
102 {k,datain} <= {1'b1,COMMA};
105 {k,datain} <= {1'b1, HEAD};
115 {k,datain} <= {1'b0, vita_time_reg[55:48]};
120 {k,datain} <= {1'b0, vita_time_reg[47:40]};
125 {k,datain} <= {1'b0, vita_time_reg[39:32]};
140 {k,datain} <= {1'b0, vita_time_reg[15:8]};
145 {k,datain} <= {1'b0, vita_time_reg[7:0]};
[all …]
/dports/net/netwib/netwib-5.38.0-src/src/netwib/dat/
H A Dbufenc.c92 datain++; in netwib_priv_buf_encode_hexa0()
150 datain++; in netwib_priv_buf_encode_hexa_wrap()
194 datain++; in netwib_priv_buf_encode_hexa1()
202 datain++; in netwib_priv_buf_encode_hexa1()
241 datain++; in netwib_priv_buf_encode_hexa24()
259 datain++; in netwib_priv_buf_encode_hexa24()
297 c = *datain++; in netwib_priv_buf_encode_mixed()
315 c = *datain++; in netwib_priv_buf_encode_mixed()
383 c = *datain++; in netwib_priv_buf_encode_mixed_wrap()
464 c = *datain++; in netwib_priv_buf_encode_mixedh_wrap()
[all …]
/dports/sysutils/u-boot-utilite/u-boot-2015.07/board/mpl/pip405/
H A Dpip405.c197 datain[i] = 127; in board_early_init_f()
207 write_hex (datain[i]); in board_early_init_f()
245 rows = datain[3]; in board_early_init_f()
246 cols = datain[4]; in board_early_init_f()
247 banks = datain[5]; in board_early_init_f()
277 write_hex (datain[27]); in board_early_init_f()
279 write_hex (datain[29]); in board_early_init_f()
281 write_hex (datain[30]); in board_early_init_f()
288 density = datain[31]; in board_early_init_f()
296 ((NSto10PS (datain[30]) - NSto10PS (datain[29])) + in board_early_init_f()
[all …]
/dports/textproc/zorba/zorba-2.7.0/src/zorbaserialization/
H A Dbase64impl.cpp35 Base64Impl::Encode(const unsigned char *datain, size_t datalen, in Encode() argument
49 temp8 = datain[0]; in Encode()
61 datain++; in Encode()
62 temp8 = datain[0]; in Encode()
120 Base64Impl::Decode(const unsigned char *datain, size_t datalen, in Decode() argument
132 temp6 = getChar6BitIndex(datain[0]); in Decode()
152 datain++; in Decode()
154 }while(((datain[0] == '\r')||(datain[0] == '\n'))&&datalen); in Decode()
157 if(datain[0] == '=') in Decode()
159 temp6 = getChar6BitIndex(datain[0]); in Decode()
/dports/archivers/streamvbyte/streamvbyte-0.4.1/tests/
H A Dunit.c18 int32_t *datain = malloc(N * sizeof(int32_t)); in zigzagtests() local
20 datain[i] = rand() - rand(); in zigzagtests()
27 zigzag_encode(datain, dataout, N); in zigzagtests()
34 if(datain[i] != databack[i]) { in zigzagtests()
38 if(datain[i] != deltadataback[i]) { in zigzagtests()
46 free(datain); in zigzagtests()
76 if (recovdata[k] != datain[k]) { in basictests()
93 if (recovdata[k] != datain[k]) { in basictests()
103 datain[k] = gap * k; in basictests()
115 if (recovdata[k] != datain[k]) { in basictests()
[all …]
/dports/misc/rump/buildrump.sh-b914579/src/sys/dev/pckbport/
H A Dpckbd.c778 return datain; in pckbd_scancode_translate()
788 if (datain == KBR_EXTENDED0 || datain == KBR_EXTENDED1) in pckbd_scancode_translate()
789 return datain; in pckbd_scancode_translate()
801 datain = 0; in pckbd_scancode_translate()
803 datain = pckbd_xtbl_ext[datain]; in pckbd_scancode_translate()
806 datain = 0; in pckbd_scancode_translate()
808 datain = pckbd_xtbl[datain]; in pckbd_scancode_translate()
817 datain &= 0x7f; in pckbd_scancode_translate()
849 datain &= 0x7f; in pckbd_decode()
870 if (id->t_extended1 == 2 && (datain == 0x1d || datain == 0x9d)) { in pckbd_decode()
[all …]
/dports/science/InsightToolkit/ITK-5.0.1/Modules/Core/Common/include/
H A DitkArray.hxx59 ::Array(ValueType *datain, SizeValueType sz, bool LetArrayManageMemory): in Array() argument
62 vnl_vector< TValue >::data = datain; in Array()
70 ::Array(const ValueType *datain, SizeValueType sz): in Array() argument
71 vnl_vector< TValue >( datain, sz), in Array()
82 ::Array(const ValueType *datain, SizeValueType sz, bool /* LetArrayManageMemory */): in Array() argument
85 vnl_vector< TValue >( datain, sz), in Array()
108 ::SetDataSameSize(TValue *datain, bool LetArrayManageMemory) in SetDataSameSize() argument
114 vnl_vector< TValue >::data = datain; in SetDataSameSize()
122 ::SetData(TValue *datain, SizeValueType sz, bool LetArrayManageMemory) in SetData() argument
128 vnl_vector< TValue >::data = datain; in SetData()
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/udp/
H A Dfifo19_rxrealign.v31 input [18:0] datain, input src_rdy_i, output dst_rdy_o, port
38 assign dataout[18] = datain[18];
39 assign dataout[17] = datain[17];
40 …assign dataout[16] = (rxre_state==RXRE_DUMMY) | (datain[17] & datain[16]); // allows for passi…
41 assign dataout[15:0] = datain[15:0];
51 if(datain[17]) // if eof or error
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/opencores/8b10b/
H A Dencode_8b10b.v13 module encode_8b10b (datain, dispin, dataout, dispout) ;
14 input [8:0] datain ; port
20 wire ai = datain[0] ;
21 wire bi = datain[1] ;
22 wire ci = datain[2] ;
23 wire di = datain[3] ;
24 wire ei = datain[4] ;
25 wire fi = datain[5] ;
26 wire gi = datain[6] ;
27 wire hi = datain[7] ;
[all …]
/dports/misc/rump/buildrump.sh-b914579/src/sys/dev/dec/
H A Dlk201_ws.c125 lk201_decode(struct lk201_state *lks, int wantmulti, int datain, u_int *type, int *dataout) in lk201_decode() argument
130 lks->ackdata = datain; in lk201_decode()
135 switch (datain) { in lk201_decode()
151 printf("lk201_decode: error %x\n", datain); in lk201_decode()
159 if (datain == LK_KEY_UP) { in lk201_decode()
176 } else if (datain < MIN_LK201_KEY || datain > MAX_LK201_KEY) { in lk201_decode()
177 printf("lk201_decode: %x\n", datain); in lk201_decode()
181 *dataout = datain - MIN_LK201_KEY; in lk201_decode()
185 if (lks->down_keys_list[i] == datain) { in lk201_decode()
195 printf("lk201_decode: down(%d) no free slot\n", datain); in lk201_decode()
[all …]
/dports/news/golded+/golded-plus/golded+/goldlib/uulib/
H A Duunconc.cpp753 while (!feof (datain) && in UUDecodeQP()
758 if (ferror (datain)) { in UUDecodeQP()
850 while (!feof (datain) && in UUDecodePT()
959 if (ferror (datain)) in UUDecodePart()
1279 FILE *datain, *dataout; in UUDecode() local
1483 fclose (datain); in UUDecode()
1540 fclose (datain); in UUDecode()
1550 if (ferror (datain) || (bytes == 0 && !feof (datain))) { in UUDecode()
1555 fclose (datain); in UUDecode()
1566 fclose (datain); in UUDecode()
[all …]
/dports/misc/rump/buildrump.sh-b914579/src/sys/dev/hpc/
H A Dpckbd_encode.c51 pckbd_encode(u_int type, int datain, u_char *dataout) in pckbd_encode() argument
60 if (datain == 0x7f) { in pckbd_encode()
63 datain = 0x45; in pckbd_encode()
67 if (datain & 0x80) { in pckbd_encode()
69 datain &= 0x7f; in pckbd_encode()
72 dataout[res++] = (datain | updown); in pckbd_encode()
/dports/converters/p5-Convert-UUlib/Convert-UUlib-1.7/uulib/
H A Duunconc.c742 if (ferror (datain)) { in UUDecodeQP()
812 if (!feof (datain) && in UUDecodeQP()
842 if (ferror (datain)) { in UUDecodePT()
1001 if (ferror (datain)) { in UUDecodePart()
1311 FILE *datain, *dataout; local
1575 fclose (datain);
1641 fclose (datain);
1653 if (ferror (datain) || (bytes == 0 && !feof (datain))) {
1658 fclose (datain);
1671 fclose (datain);
[all …]
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/simple_gemac/
H A Dethrx_realign.v23 input [35:0] datain, input src_rdy_i, output dst_rdy_o, port
33 wire sof_in = datain[32];
34 wire eof_in = datain[33];
35 wire [1:0] occ_in = datain[35:34];
47 held <= datain[15:0];
48 held_occ <= datain[35:34];
86 assign dataout = {occ_out,eof_out,sof_out,held,datain[31:16]};

12345678910>>...34