Home
last modified time | relevance | path

Searched refs:dbgctl (Results 1 – 25 of 25) sorted by relevance

/dports/devel/urjtag/urjtag-2021.03/src/bfin/
H A Dbfin.c172 uint16_t dbgctl = BFIN_PART_DBGCTL (part); \
175 dbgctl |= BFIN_PART_DATA (part)->dbgctl_##name; \
177 dbgctl &= ~BFIN_PART_DATA (part)->dbgctl_##name; \
178 bfin_dbgctl_init (part, dbgctl); \
179 BFIN_PART_DBGCTL (part) = dbgctl; \
194 uint16_t dbgctl = BFIN_PART_DBGCTL (part); \
195 if (dbgctl & BFIN_PART_DATA (part)->dbgctl_##name) \
/dports/devel/urjtag/urjtag-2021.03/include/urjtag/
H A Dbfin.h74 uint16_t dbgctl; member
125 #define BFIN_PART_DBGCTL(part) (BFIN_PART_DATA (part)->dbgctl)
/dports/multimedia/v4l_compat/linux-5.13-rc2/tools/testing/selftests/kvm/lib/x86_64/
H A Dsvm.c95 save->dbgctl = rdmsr(MSR_IA32_DEBUGCTLMSR); in generic_svm_setup()
/dports/multimedia/libv4l/linux-5.13-rc2/tools/testing/selftests/kvm/lib/x86_64/
H A Dsvm.c95 save->dbgctl = rdmsr(MSR_IA32_DEBUGCTLMSR); in generic_svm_setup()
/dports/multimedia/v4l-utils/linux-5.13-rc2/tools/testing/selftests/kvm/lib/x86_64/
H A Dsvm.c95 save->dbgctl = rdmsr(MSR_IA32_DEBUGCTLMSR); in generic_svm_setup()
/dports/emulators/unicorn/unicorn-1.0.2/qemu/target-i386/
H A Dsvm.h210 uint64_t dbgctl;
/dports/emulators/qemu-utils/qemu-4.2.1/target/i386/
H A Dsvm.h224 uint64_t dbgctl; member
/dports/emulators/py-unicorn/unicorn-1.0.2/qemu/target-i386/
H A Dsvm.h210 uint64_t dbgctl;
/dports/emulators/qemu5/qemu-5.2.0/target/i386/
H A Dsvm.h225 uint64_t dbgctl; member
/dports/emulators/qemu-guest-agent/qemu-5.0.1/target/i386/
H A Dsvm.h224 uint64_t dbgctl; member
/dports/emulators/qemu-powernv/qemu-powernv-3.0.50/target/i386/
H A Dsvm.h224 uint64_t dbgctl; member
/dports/emulators/qemu42/qemu-4.2.1/target/i386/
H A Dsvm.h224 uint64_t dbgctl; member
/dports/emulators/qemu-cheri/qemu-0a323821042c36e21ea80e58b9545dfc3b0cb8ef/target/i386/
H A Dsvm.h224 uint64_t dbgctl; member
/dports/emulators/qemu-devel/qemu-de8ed1055c2ce18c95f597eb10df360dcb534f99/target/i386/
H A Dsvm.h228 uint64_t dbgctl; member
/dports/emulators/qemu/qemu-6.2.0/target/i386/
H A Dsvm.h228 uint64_t dbgctl; member
/dports/emulators/qemu60/qemu-6.0.0/target/i386/
H A Dsvm.h225 uint64_t dbgctl; member
/dports/multimedia/v4l_compat/linux-5.13-rc2/tools/testing/selftests/kvm/include/x86_64/
H A Dsvm.h202 u64 dbgctl; member
/dports/multimedia/libv4l/linux-5.13-rc2/tools/testing/selftests/kvm/include/x86_64/
H A Dsvm.h202 u64 dbgctl; member
/dports/multimedia/v4l-utils/linux-5.13-rc2/tools/testing/selftests/kvm/include/x86_64/
H A Dsvm.h202 u64 dbgctl; member
/dports/multimedia/v4l-utils/linux-5.13-rc2/arch/x86/include/asm/
H A Dsvm.h261 u64 dbgctl; member
/dports/multimedia/v4l_compat/linux-5.13-rc2/arch/x86/include/asm/
H A Dsvm.h261 u64 dbgctl; member
/dports/multimedia/libv4l/linux-5.13-rc2/arch/x86/include/asm/
H A Dsvm.h261 u64 dbgctl; member
/dports/multimedia/v4l-utils/linux-5.13-rc2/arch/x86/kvm/svm/
H A Dsvm.c2682 msr_info->data = svm->vmcb->save.dbgctl; in svm_get_msr()
2912 svm->vmcb->save.dbgctl = data; in svm_set_msr()
3212 "gpat:", save->g_pat, "dbgctl:", save->dbgctl); in dump_vmcb()
/dports/multimedia/v4l_compat/linux-5.13-rc2/arch/x86/kvm/svm/
H A Dsvm.c2682 msr_info->data = svm->vmcb->save.dbgctl; in svm_get_msr()
2912 svm->vmcb->save.dbgctl = data; in svm_set_msr()
3212 "gpat:", save->g_pat, "dbgctl:", save->dbgctl); in dump_vmcb()
/dports/multimedia/libv4l/linux-5.13-rc2/arch/x86/kvm/svm/
H A Dsvm.c2682 msr_info->data = svm->vmcb->save.dbgctl; in svm_get_msr()
2912 svm->vmcb->save.dbgctl = data; in svm_set_msr()
3212 "gpat:", save->g_pat, "dbgctl:", save->dbgctl); in dump_vmcb()