Home
last modified time | relevance | path

Searched refs:failed_passed (Results 1 – 4 of 4) sorted by relevance

/dports/www/ilias/ILIAS-5.4.25/Modules/Test/test/
H A DilassMarkSchemaTest.php61 $failed_passed = 0;
92 $failed_passed,
127 $failed_passed = 0;
138 $failed_passed,
168 $failed_passed,
/dports/www/ilias6/ILIAS-6.14/Modules/Test/test/
H A DilassMarkSchemaTest.php63 $failed_passed = 0;
94 $failed_passed,
129 $failed_passed = 0;
140 $failed_passed,
170 $failed_passed,
/dports/www/ilias/ILIAS-5.4.25/Modules/Test/classes/
H A Dclass.assMarkSchema.php42 …* @param integer $failed_passed Indicates the passed status of the failed mark (0 = failed…
52 $failed_passed = 0, argument
59 … $this->addMarkStep($txt_failed_short, $txt_failed_official, $percentage_failed, $failed_passed);
/dports/www/ilias6/ILIAS-6.14/Modules/Test/classes/
H A Dclass.assMarkSchema.php42 …* @param integer $failed_passed Indicates the passed status of the failed mark (0 = failed…
52 $failed_passed = 0, argument
59 … $this->addMarkStep($txt_failed_short, $txt_failed_official, $percentage_failed, $failed_passed);