Home
last modified time | relevance | path

Searched refs:fifo_fd (Results 1 – 25 of 45) sorted by relevance

12

/dports/x11/gnome-session/gnome-session-40.1.1/tools/
H A Dgnome-session-ctl.c145 gint fifo_fd; member
169 read (data->fifo_fd, buf, 1); in leader_fifo_io_cb()
200 data.fifo_fd = g_open (fifo_name, O_RDONLY | O_CLOEXEC, 0666); in do_monitor_leader()
201 if (data.fifo_fd >= 0) { in do_monitor_leader()
204 res = fstat (data.fifo_fd, &buf); in do_monitor_leader()
208 close (data.fifo_fd); in do_monitor_leader()
209 data.fifo_fd = -1; in do_monitor_leader()
213 close (data.fifo_fd); in do_monitor_leader()
214 data.fifo_fd = -1; in do_monitor_leader()
217 g_unix_fd_add (data.fifo_fd, G_IO_HUP | G_IO_IN, leader_fifo_io_cb, &data); in do_monitor_leader()
/dports/x11/gnome-session/gnome-session-40.1.1/gnome-session/
H A Dmain.c282 gint fifo_fd = GPOINTER_TO_INT (data); in leader_term_or_int_signal_cb() local
287 if (fifo_fd >= 0) { in leader_term_or_int_signal_cb()
291 res = write (fifo_fd, "S", 1); in leader_term_or_int_signal_cb()
347 int fifo_fd; in systemd_leader_run() local
355 fifo_fd = g_open (fifo_name, O_WRONLY | O_CLOEXEC, 0666); in systemd_leader_run()
356 if (fifo_fd >= 0) { in systemd_leader_run()
359 res = fstat (fifo_fd, &buf); in systemd_leader_run()
362 close (fifo_fd); in systemd_leader_run()
363 fifo_fd = -1; in systemd_leader_run()
366 close (fifo_fd); in systemd_leader_run()
[all …]
/dports/editors/the/THE-3.3RC4/
H A Dsingle.c451 static int fifo_fd; variable
478 fifo_fd = open( (DEFCHAR *)fifo_name, O_WRONLY );
479 if ( fifo_fd == (-1) )
523 if ( write( fifo_fd, tmp_str, tmp_len ) == (-1) )
530 close( fifo_fd );
555 fifo_fd = open( (DEFCHAR*)fifo_name, O_RDWR );
556 if ( fifo_fd == -1 )
605 FD_SET ( fifo_fd, &readfds );
616 if ( FD_ISSET ( fifo_fd, &readfds ) )
618 if ( read( fifo_fd, (char *)&tmp_len, sizeof(tmp_len) ) < 0 )
[all …]
/dports/mail/dovecot/dovecot-2.3.17/src/plugins/replication/
H A Dreplication-plugin.c45 static int fifo_fd; variable
58 if (fifo_fd == -1) { in replication_fifo_notify()
59 fifo_fd = open(fifo_path, O_WRONLY | O_NONBLOCK); in replication_fifo_notify()
60 if (fifo_fd == -1) { in replication_fifo_notify()
82 ret = write(fifo_fd, str_data(str), str_len(str)); in replication_fifo_notify()
96 if (close(fifo_fd) < 0) in replication_fifo_notify()
98 fifo_fd = -1; in replication_fifo_notify()
390 fifo_fd = -1; in replication_plugin_init()
397 i_close_fd_path(&fifo_fd, fifo_path); in replication_plugin_deinit()
/dports/net-im/licq-jabber/licq-f271d55/licq/src/
H A Dfifo.cpp818 fifo_fd = open(filename.c_str(), O_RDWR); in initialize()
819 if (fifo_fd == -1) in initialize()
826 if (fifo_fd == -1) in initialize()
830 if (fifo_fd != -1) in initialize()
833 fstat(fifo_fd, &buf); in initialize()
838 close(fifo_fd); in initialize()
839 fifo_fd = -1; in initialize()
844 if (fifo_fd != -1) in initialize()
845 mainLoop.addRawFile(fifo_fd, this); in initialize()
850 if (fifo_fd != -1) in shutdown()
[all …]
H A Dfifo.h54 int fifo_fd; variable
/dports/net-im/licq-qt-gui/licq-f271d55/licq/src/
H A Dfifo.cpp818 fifo_fd = open(filename.c_str(), O_RDWR); in initialize()
819 if (fifo_fd == -1) in initialize()
826 if (fifo_fd == -1) in initialize()
830 if (fifo_fd != -1) in initialize()
833 fstat(fifo_fd, &buf); in initialize()
838 close(fifo_fd); in initialize()
839 fifo_fd = -1; in initialize()
844 if (fifo_fd != -1) in initialize()
845 mainLoop.addRawFile(fifo_fd, this); in initialize()
850 if (fifo_fd != -1) in shutdown()
[all …]
H A Dfifo.h54 int fifo_fd; variable
/dports/net-im/licq/licq-f271d55/licq/src/
H A Dfifo.cpp818 fifo_fd = open(filename.c_str(), O_RDWR); in initialize()
819 if (fifo_fd == -1) in initialize()
826 if (fifo_fd == -1) in initialize()
830 if (fifo_fd != -1) in initialize()
833 fstat(fifo_fd, &buf); in initialize()
838 close(fifo_fd); in initialize()
839 fifo_fd = -1; in initialize()
844 if (fifo_fd != -1) in initialize()
845 mainLoop.addRawFile(fifo_fd, this); in initialize()
850 if (fifo_fd != -1) in shutdown()
[all …]
H A Dfifo.h54 int fifo_fd; variable
/dports/net-im/licq-icq/licq-f271d55/licq/src/
H A Dfifo.cpp818 fifo_fd = open(filename.c_str(), O_RDWR); in initialize()
819 if (fifo_fd == -1) in initialize()
826 if (fifo_fd == -1) in initialize()
830 if (fifo_fd != -1) in initialize()
833 fstat(fifo_fd, &buf); in initialize()
838 close(fifo_fd); in initialize()
839 fifo_fd = -1; in initialize()
844 if (fifo_fd != -1) in initialize()
845 mainLoop.addRawFile(fifo_fd, this); in initialize()
850 if (fifo_fd != -1) in shutdown()
[all …]
H A Dfifo.h54 int fifo_fd; variable
/dports/net-im/licq-osd/licq-f271d55/licq/src/
H A Dfifo.cpp818 fifo_fd = open(filename.c_str(), O_RDWR); in initialize()
819 if (fifo_fd == -1) in initialize()
826 if (fifo_fd == -1) in initialize()
830 if (fifo_fd != -1) in initialize()
833 fstat(fifo_fd, &buf); in initialize()
838 close(fifo_fd); in initialize()
839 fifo_fd = -1; in initialize()
844 if (fifo_fd != -1) in initialize()
845 mainLoop.addRawFile(fifo_fd, this); in initialize()
850 if (fifo_fd != -1) in shutdown()
[all …]
H A Dfifo.h54 int fifo_fd; variable
/dports/www/trafficserver/trafficserver-9.1.1/tests/gold_tests/logging/
H A Dpipe_buffer_is_larger_than.py45 fifo_fd = open(fifo, "rb+", buffering=0)
46 buffer_size = fcntl.fcntl(fifo_fd, F_GETPIPE_SZ)
/dports/x11/sxhkd/sxhkd-0.6.2/src/
H A Dsxhkd.c125 int fifo_fd = open(fifo_path, O_RDWR | O_NONBLOCK); in main() local
126 if (fifo_fd != -1) { in main()
127 status_fifo = fdopen(fifo_fd, "w"); in main()
/dports/news/slrnface/slrnface-2.1.1/
H A Dslrnface.c124 int fifo_fd, term_fd; variable
476 if ((fifo_fd = open (fifo_file, O_RDONLY | O_NONBLOCK)) < 0) in setup()
488 fcntl (fifo_fd, F_SETFL, 0); in setup()
495 fifo_pollfd.fd = fifo_fd; in setup()
717 n = read (fifo_fd, bufstart, toread); in read_fifo()
1141 p[1].fd = fifo_fd; in main()
/dports/net/openmpi/openmpi-4.1.1/opal/mca/btl/smcuda/
H A Dbtl_smcuda_endpoint.h44 int fifo_fd; /**< pipe/fifo used to signal endpoint that data is queued */ member
/dports/net/openmpi3/openmpi-3.1.6/opal/mca/btl/smcuda/
H A Dbtl_smcuda_endpoint.h44 int fifo_fd; /**< pipe/fifo used to signal endpoint that data is queued */ member
/dports/www/nginx-naxsi/naxsi-1.3/nxapi/
H A Dnxtool.py42 fifo_fd = open(fifo, 'r')
43 fcntl.fcntl(fifo_fd, F_SETPIPE_SZ, 1000000)
44 logging.debug("Pipe (modified) size : "+str(fcntl.fcntl(fifo_fd, F_GETPIPE_SZ)))
48 return fifo_fd
/dports/www/nginx-full/naxsi-1.3/nxapi/
H A Dnxtool.py42 fifo_fd = open(fifo, 'r')
43 fcntl.fcntl(fifo_fd, F_SETPIPE_SZ, 1000000)
44 logging.debug("Pipe (modified) size : "+str(fcntl.fcntl(fifo_fd, F_GETPIPE_SZ)))
48 return fifo_fd
/dports/audio/gsequencer/gsequencer-3.10.4/ags/audio/
H A Dags_fifoout.h143 int fifo_fd; member
/dports/x11/mrxvt-devel/mrxvt-0.5.4/src/
H A Dmacros.c1316 if( r->fifo_fd != -1 )
1318 close( r->fifo_fd );
1319 if( r->num_fds == r->fifo_fd + 1)
1322 r->fifo_fd = -1;
/dports/databases/proftpd-mod_sql_odbc/proftpd-1.3.7c/contrib/
H A Dmod_rewrite.c1340 int fifo_fd = -1, fifo_lockfd = -1, res; in rewrite_subst_maps_fifo() local
1350 fifo_fd = *((int *) c->argv[3]); in rewrite_subst_maps_fifo()
1351 if (fifo_fd == -1) { in rewrite_subst_maps_fifo()
1413 res = rewrite_write_fifo(fifo_fd, in rewrite_subst_maps_fifo()
1452 if (fsync(fifo_fd) < 0) { in rewrite_subst_maps_fifo()
1454 fifo_fd, strerror(errno)); in rewrite_subst_maps_fifo()
1460 rewrite_wait_fifo(fifo_fd); in rewrite_subst_maps_fifo()
1467 res = rewrite_read_fifo(fifo_fd, value, REWRITE_FIFO_MAXLEN); in rewrite_subst_maps_fifo()
1497 if (fsync(fifo_fd) < 0) { in rewrite_subst_maps_fifo()
1499 fifo_fd, strerror(errno)); in rewrite_subst_maps_fifo()
/dports/databases/proftpd-mod_sql_sqlite/proftpd-1.3.7c/contrib/
H A Dmod_rewrite.c1340 int fifo_fd = -1, fifo_lockfd = -1, res; in rewrite_subst_maps_fifo() local
1350 fifo_fd = *((int *) c->argv[3]); in rewrite_subst_maps_fifo()
1351 if (fifo_fd == -1) { in rewrite_subst_maps_fifo()
1413 res = rewrite_write_fifo(fifo_fd, in rewrite_subst_maps_fifo()
1452 if (fsync(fifo_fd) < 0) { in rewrite_subst_maps_fifo()
1454 fifo_fd, strerror(errno)); in rewrite_subst_maps_fifo()
1460 rewrite_wait_fifo(fifo_fd); in rewrite_subst_maps_fifo()
1467 res = rewrite_read_fifo(fifo_fd, value, REWRITE_FIFO_MAXLEN); in rewrite_subst_maps_fifo()
1497 if (fsync(fifo_fd) < 0) { in rewrite_subst_maps_fifo()
1499 fifo_fd, strerror(errno)); in rewrite_subst_maps_fifo()

12