Home
last modified time | relevance | path

Searched refs:g_size (Results 1 – 25 of 257) sorted by relevance

1234567891011

/dports/science/libcint/libcint-5.1.0/src/
H A Dcint3c1e_a.c23 double *g1 = g0 + envs->g_size * 3; in CINTgout1e_int3c1e_r2_origk()
24 double *g2 = g1 + envs->g_size * 3; in CINTgout1e_int3c1e_r2_origk()
25 double *g3 = g2 + envs->g_size * 3; in CINTgout1e_int3c1e_r2_origk()
82 double *g1 = g0 + envs->g_size * 3; in ALL_CINT_FORTRAN_()
83 double *g2 = g1 + envs->g_size * 3; in ALL_CINT_FORTRAN_()
84 double *g3 = g2 + envs->g_size * 3; in ALL_CINT_FORTRAN_()
85 double *g4 = g3 + envs->g_size * 3; in ALL_CINT_FORTRAN_()
86 double *g5 = g4 + envs->g_size * 3; in ALL_CINT_FORTRAN_()
87 double *g6 = g5 + envs->g_size * 3; in ALL_CINT_FORTRAN_()
88 double *g7 = g6 + envs->g_size * 3; in ALL_CINT_FORTRAN_()
[all …]
H A Dcint1e_a.c23 double *g1 = g0 + envs->g_size * 3; in CINTgout1e_int1e_r2_origi()
24 double *g2 = g1 + envs->g_size * 3; in CINTgout1e_int1e_r2_origi()
25 double *g3 = g2 + envs->g_size * 3; in CINTgout1e_int1e_r2_origi()
80 double *g1 = g0 + envs->g_size * 3; in ALL_CINT1E()
81 double *g2 = g1 + envs->g_size * 3; in ALL_CINT1E()
82 double *g3 = g2 + envs->g_size * 3; in ALL_CINT1E()
83 double *g4 = g3 + envs->g_size * 3; in ALL_CINT1E()
84 double *g5 = g4 + envs->g_size * 3; in ALL_CINT1E()
85 double *g6 = g5 + envs->g_size * 3; in ALL_CINT1E()
86 double *g7 = g6 + envs->g_size * 3; in ALL_CINT1E()
[all …]
H A Dbreit.c149 double *g1 = g0 + envs->g_size * 3; in CINTgout2e_int2e_breit_r1p2()
150 double *g2 = g1 + envs->g_size * 3; in CINTgout2e_int2e_breit_r1p2()
151 double *g3 = g2 + envs->g_size * 3; in CINTgout2e_int2e_breit_r1p2()
152 double *g4 = g3 + envs->g_size * 3; in CINTgout2e_int2e_breit_r1p2()
153 double *g5 = g4 + envs->g_size * 3; in CINTgout2e_int2e_breit_r1p2()
154 double *g6 = g5 + envs->g_size * 3; in CINTgout2e_int2e_breit_r1p2()
155 double *g7 = g6 + envs->g_size * 3; in CINTgout2e_int2e_breit_r1p2()
156 double *g8 = g7 + envs->g_size * 3; in CINTgout2e_int2e_breit_r1p2()
157 double *g9 = g8 + envs->g_size * 3; in CINTgout2e_int2e_breit_r1p2()
158 double *g10 = g9 + envs->g_size * 3; in CINTgout2e_int2e_breit_r1p2()
[all …]
H A Dg3c1e.c102 ofy = envs->g_size; in CINTg3c1e_index_xyz()
103 ofz = envs->g_size * 2; in CINTg3c1e_index_xyz()
133 double *gy = g + envs->g_size; in CINTg3c1e_ovlp()
134 double *gz = g + envs->g_size * 2; in CINTg3c1e_ovlp()
201 double *gy = g + envs->g_size; in CINTg3c1e_nuc()
274 double *fy = f + envs->g_size; in CINTnabla1i_3c1e()
308 double *fy = f + envs->g_size; in CINTnabla1j_3c1e()
346 double *fy = f + envs->g_size; in CINTnabla1k_3c1e()
386 double *fy = f + envs->g_size; in CINTx1i_3c1e()
415 double *fy = f + envs->g_size; in CINTx1j_3c1e()
[all …]
H A Dg1e.c74 envs->g_stride_k = envs->g_size; in CINTinit_int1e_EnvVars()
75 envs->g_stride_l = envs->g_size; in CINTinit_int1e_EnvVars()
107 ofy = envs->g_size; in CINTg1e_index_xyz()
108 ofz = envs->g_size * 2; in CINTg1e_index_xyz()
127 double *gy = g + envs->g_size; in CINTg1e_ovlp()
214 double *gy = g + envs->g_size; in CINTg1e_nuc()
332 double *fy = f + envs->g_size; in CINTnabla1i_1e()
362 double *fy = f + envs->g_size; in CINTnabla1j_1e()
399 double *fy = f + envs->g_size; in CINTnabla1k_1e()
438 double *fy = f + envs->g_size; in CINTx1i_1e()
[all …]
/dports/devel/color/color-a04952cf27cc315e31811f2e940221bf8cf9f8ca/example/test/
H A Dallgray.cpp15 int g_size = 16; variable
24 image.reserve( g_size * g_size ); in init()
40 if( g_size <= x ) x = 2*g_size-x-1; in pixel()
41 if( g_size <= y ) y = 2*g_size-y-1; in pixel()
43 return image[ y*g_size + x]; in pixel()
50 if( g_size <= x ) x = 2*g_size-x-1; in pixel()
51 if( g_size <= y ) y = 2*g_size-y-1; in pixel()
127 if( ((g_size-1)==x)&&((g_size-1)==y) ) continue; in fix_dispersion()
134 if( ((g_size-1)==x1)&&((g_size-1)==y1) ) continue; in fix_dispersion()
172 save_image_gray( "allgray_original.tga", image, g_size, g_size ); in main()
[all …]
H A Dallrgb.cpp60 int g_size = 4096; variable
64 image.resize( g_size * g_size, ::color::constant::black_t{} ); in init()
65 palette.reserve( g_size * g_size ); in init()
66 mask.reserve( g_size * g_size ); in init()
109 image.reserve(g_size*g_size); in initX()
129 return image[ y*g_size + x]; in pixel()
134 return image[ y*g_size + x]; in pixel()
151 return image[ y*g_size + x]; in mask_pixel()
261 save_image24( "allrgb_finale-gray_luminance_compress.tga", palette, g_size, g_size ); in calc()
312 save_image24( "allrgb_finale.tga", image, g_size, g_size ); in calc()
[all …]
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/genrams/xilinx/
H A Dgeneric_dpram_sameclock.vhd42 g_size : natural := 16384; generic
58 aa_i : in std_logic_vector(f_log2_size(g_size)-1 downto 0);
84 variable mem32 : t_ram32_type(0 to g_size-1);
85 variable mem16 : t_ram16_type(0 to g_size-1);
86 variable mem8 : t_ram8_type(0 to g_size-1);
97 while(pos < g_size)loop
100 while (pos < g_size and n < 4096) loop
177 qa_o <= ram(to_integer(unsigned(aa_i)) mod g_size);
191 qb_o <= ram(to_integer(unsigned(ab_i)) mod g_size);
212 qa_o <= ram(to_integer(unsigned(aa_i)) mod g_size);
[all …]
H A Dgeneric_dpram_split.vhd71 g_size : natural := 16384; generic
82 aa_i : in std_logic_vector(f_log2_size(g_size)-1 downto 0);
89 ab_i : in std_logic_vector(f_log2_size(g_size)-1 downto 0);
99 type t_split_ram is array(0 to g_size-1) of std_logic_vector(7 downto 0);
103 variable mem8 : t_ram8_type(0 to g_size-1);
148 qa_o(7 downto 0) <= ram0(f_check_bounds(to_integer(unsigned(aa_i)), 0, g_size-1));
150 ram0(f_check_bounds(to_integer(unsigned(aa_i)), 0, g_size-1)) := da_i(7 downto 0);
158 qb_o(7 downto 0) <= ram0(f_check_bounds(to_integer(unsigned(ab_i)), 0, g_size-1));
160 ram0(f_check_bounds(to_integer(unsigned(ab_i)), 0, g_size-1)) := db_i(7 downto 0);
170 qa_o(15 downto 8) <= ram1(f_check_bounds(to_integer(unsigned(aa_i)), 0, g_size-1));
[all …]
H A Dgeneric_dpram.vhd44 g_size : natural := 16384; generic
60 aa_i : in std_logic_vector(f_log2_size(g_size)-1 downto 0);
68 ab_i : in std_logic_vector(f_log2_size(g_size)-1 downto 0);
87 g_size : natural; generic in generic_dpram.syn.generic_dpram_split
96 aa_i : in std_logic_vector(f_log2_size(g_size)-1 downto 0);
101 ab_i : in std_logic_vector(f_log2_size(g_size)-1 downto 0);
109 g_size : natural; generic in generic_dpram.syn.generic_dpram_sameclock
132 g_size : natural; generic in generic_dpram.syn.generic_dpram_dualclock
165 g_size => g_size,
188 g_size => g_size,
[all …]
H A Dgc_shiftreg.vhd11 g_size : integer); generic
18 a_i : in std_logic_vector(f_log2_size(g_size)-1 downto 0));
35 signal sr : std_logic_vector(g_size-1 downto 0);
39 …assert (g_size <= 32) report "gc_shiftreg[xilinx]: forced SRL32 implementation can be done only fo…
43 gen_srl32 : if(g_size <= 32) generate
53 gen_inferred : if(g_size > 32) generate
H A Dgeneric_dpram_dualclock.vhd43 g_size : natural := 16384; generic
58 aa_i : in std_logic_vector(f_log2_size(g_size)-1 downto 0);
66 ab_i : in std_logic_vector(f_log2_size(g_size)-1 downto 0);
85 variable mem32 : t_ram32_type(0 to g_size-1);
86 variable mem16 : t_ram16_type(0 to g_size-1);
87 variable mem8 : t_ram8_type(0 to g_size-1);
88 variable arr : t_meminit_array(0 to g_size-1, g_data_width-1 downto 0);
98 while(pos < g_size)loop
101 while (pos < g_size and n < 4096) loop
150 qa_o <= ram(to_integer(unsigned(aa_i)) mod g_size);
[all …]
/dports/science/py-pyscf/pyscf-2.0.1/pyscf/lib/gto/
H A Dft_ao_deriv.c32 const size_t g_size = envs->g_size * NGv; in GTO_ft_nabla1i() local
34 double complex *gy = g + g_size; in GTO_ft_nabla1i()
35 double complex *gz = g + g_size * 2; in GTO_ft_nabla1i()
37 double complex *fy = f + g_size; in GTO_ft_nabla1i()
38 double complex *fz = f + g_size * 2; in GTO_ft_nabla1i()
68 const size_t g_size = envs->g_size * NGv; in GTO_ft_nabla1j() local
70 double complex *gy = g + g_size; in GTO_ft_nabla1j()
71 double complex *gz = g + g_size * 2; in GTO_ft_nabla1j()
73 double complex *fy = f + g_size; in GTO_ft_nabla1j()
108 const size_t g_size = envs->g_size * NGv; in inner_prod_pdotp() local
[all …]
/dports/databases/postgis32/postgis-3.2.0/raster/scripts/python/
H A Dgenraster.py42 g_size = ( int(sys.argv[1]), int(sys.argv[2]) ) variable
49 ncells = (g_size[0] / g_cell_size[0]) * (g_size[1] / g_cell_size[1])
53 img = Image.new("L", g_size, 255)
58 for j in range(0, g_size[1], g_cell_size[1]):
59 for i in range(0, g_size[0], g_cell_size[0]):
/dports/databases/postgis31/postgis-3.1.4/raster/scripts/python/
H A Dgenraster.py42 g_size = ( int(sys.argv[1]), int(sys.argv[2]) ) variable
49 ncells = (g_size[0] / g_cell_size[0]) * (g_size[1] / g_cell_size[1])
53 img = Image.new("L", g_size, 255)
58 for j in range(0, g_size[1], g_cell_size[1]):
59 for i in range(0, g_size[0], g_cell_size[0]):
/dports/databases/postgis30/postgis-3.0.4/raster/scripts/python/
H A Dgenraster.py42 g_size = ( int(sys.argv[1]), int(sys.argv[2]) ) variable
49 ncells = (g_size[0] / g_cell_size[0]) * (g_size[1] / g_cell_size[1])
53 img = Image.new("L", g_size, 255)
58 for j in range(0, g_size[1], g_cell_size[1]):
59 for i in range(0, g_size[0], g_cell_size[0]):
/dports/databases/postgis25/postgis-2.5.5/raster/scripts/python/
H A Dgenraster.py41 g_size = ( int(sys.argv[1]), int(sys.argv[2]) ) variable
48 ncells = (g_size[0] / g_cell_size[0]) * (g_size[1] / g_cell_size[1])
52 img = Image.new("L", g_size, 255)
57 for j in range(0, g_size[1], g_cell_size[1]):
58 for i in range(0, g_size[0], g_cell_size[0]):
/dports/devel/py-pyopencl/pyopencl-2018.2/pyopencl/
H A Dcapture_call.py33 def capture_kernel_call(kernel, filename, queue, g_size, l_size, *args, **kwargs): argument
108 dim = max(len(g_size), len(l_size))
110 g_size = g_size + (1,) * (dim-len(g_size))
111 g_size = tuple(
112 gs*ls for gs, ls in zip(g_size, l_size))
136 cg("knl(queue, %s, %s," % (repr(g_size), repr(l_size)))
/dports/lang/halide/Halide-release_2019_08_27-2654-g664dc4993/test/correctness/
H A Dcompute_with.cpp1248 g.bound(x, 0, g_size).bound(y, 0, g_size); in update_stage_test()
1272 g.bound(x, 0, g_size).bound(y, 0, g_size); in update_stage_test()
1320 g.bound(x, 0, g_size).bound(y, 0, g_size); in update_stage2_test()
1345 g.bound(x, 0, g_size).bound(y, 0, g_size); in update_stage2_test()
1392 g.bound(x, 0, g_size).bound(y, 0, g_size); in update_stage3_test()
1418 g.bound(x, 0, g_size).bound(y, 0, g_size); in update_stage3_test()
1465 g.bound(x, 0, g_size).bound(y, 0, g_size); in update_stage_pairwise_test()
1491 g.bound(x, 0, g_size).bound(y, 0, g_size); in update_stage_pairwise_test()
1540 g.bound(x, 0, g_size).bound(y, 0, g_size); in update_stage_pairwise_zigzag_test()
1569 g.bound(x, 0, g_size).bound(y, 0, g_size); in update_stage_pairwise_zigzag_test()
[all …]
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/genrams/
H A Dgenram_pkg.vhd59 g_size : natural; generic in genram_pkg.generic_spram
68 a_i : in std_logic_vector(f_log2_size(g_size)-1 downto 0);
76 g_size : natural; generic in genram_pkg.generic_simple_dpram
86 aa_i : in std_logic_vector(f_log2_size(g_size)-1 downto 0);
89 ab_i : in std_logic_vector(f_log2_size(g_size)-1 downto 0);
96 g_size : natural; generic in genram_pkg.generic_dpram
106 aa_i : in std_logic_vector(f_log2_size(g_size)-1 downto 0);
121 g_size : natural; generic in genram_pkg.generic_dpram_mixed
144 g_size : natural; generic in genram_pkg.generic_async_fifo
182 g_size : natural; generic in genram_pkg.generic_sync_fifo
[all …]
/dports/ftp/wget/wget-1.21.2/fuzz/
H A Dwget_read_hunk_fuzzer.c70 static size_t g_size, g_read; variable
80 if (g_read < g_size) { in my_peek()
82 int n = rand() % (g_size - g_read); in my_peek()
111 if (g_read < g_size) { in my_read()
112 int n = rand() % (g_size - g_read); in my_read()
130 return ctx->peeklen || g_read < g_size; in my_poll()
191 g_size = size; in LLVMFuzzerTestOneInput()
/dports/multimedia/v4l-utils/linux-5.13-rc2/crypto/
H A Ddh_helper.c31 return p->key_size + p->p_size + p->q_size + p->g_size; in dh_data_size()
57 ptr = dh_pack_data(ptr, end, &params->g_size, sizeof(params->g_size)); in crypto_dh_encode_key()
61 ptr = dh_pack_data(ptr, end, params->g, params->g_size); in crypto_dh_encode_key()
83 ptr = dh_unpack_data(&params->g_size, ptr, sizeof(params->g_size)); in crypto_dh_decode_key()
92 params->g_size > params->p_size || params->q_size > params->p_size) in crypto_dh_decode_key()
/dports/multimedia/v4l_compat/linux-5.13-rc2/crypto/
H A Ddh_helper.c31 return p->key_size + p->p_size + p->q_size + p->g_size; in dh_data_size()
57 ptr = dh_pack_data(ptr, end, &params->g_size, sizeof(params->g_size)); in crypto_dh_encode_key()
61 ptr = dh_pack_data(ptr, end, params->g, params->g_size); in crypto_dh_encode_key()
83 ptr = dh_unpack_data(&params->g_size, ptr, sizeof(params->g_size)); in crypto_dh_decode_key()
92 params->g_size > params->p_size || params->q_size > params->p_size) in crypto_dh_decode_key()
/dports/multimedia/libv4l/linux-5.13-rc2/crypto/
H A Ddh_helper.c31 return p->key_size + p->p_size + p->q_size + p->g_size; in dh_data_size()
57 ptr = dh_pack_data(ptr, end, &params->g_size, sizeof(params->g_size)); in crypto_dh_encode_key()
61 ptr = dh_pack_data(ptr, end, params->g, params->g_size); in crypto_dh_encode_key()
83 ptr = dh_unpack_data(&params->g_size, ptr, sizeof(params->g_size)); in crypto_dh_decode_key()
92 params->g_size > params->p_size || params->q_size > params->p_size) in crypto_dh_decode_key()
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/genrams/common/
H A Dgeneric_shiftreg_fifo.vhd55 g_size : integer := 32 generic
77 g_size : integer); generic in generic_shiftreg_fifo.rtl.gc_shiftreg
83 a_i : in std_logic_vector(f_log2_size(g_size)-1 downto 0));
86 signal pointer : integer range 0 to g_size-1 := 0;
88 signal srl_addr : std_logic_vector(f_log2_size(g_size)-1 downto 0) := (others => '0');
106 g_size => g_size)
160 pointer_full <= '1' when pointer = g_size - 1 else '0';
161 …pointer_almost_full <= '1' when pointer_full = '1' or pointer = g_size -3 or pointer = g_size - 2 …

1234567891011