Home
last modified time | relevance | path

Searched refs:gray_val (Results 1 – 21 of 21) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp1/sdr_lib/
H A Dext_fifo.v98 module bin2gray(bin_val,gray_val);
101 output reg [width-1:0] gray_val; port
107 gray_val[width-1] = bin_val[width-1];
109 gray_val[i] = bin_val[i] ^ bin_val[i+1];
113 module gray2bin(gray_val,bin_val);
115 input [width-1:0] gray_val; port
122 bin_val[width-1] = gray_val[width-1];
124 bin_val[i] = bin_val[i+1] ^ gray_val[i];
/dports/graphics/tif22pnm/tif22pnm-0.14/
H A Dptstiff3.c988 gray_val= MIN(gray_val, alpha_val); in read_16bit()
1005 gray_val= MIN(gray_val, alpha_val); in read_16bit()
1112 gray_val= *source++; in read_8bit()
1114 gray_val= MIN(gray_val, alpha_val); in read_8bit()
1129 gray_val= *source++; in read_8bit()
1131 gray_val= MIN(gray_val, alpha_val); in read_8bit()
1249 NEXTSAMPLE(gray_val); in read_default()
1253 gray_val= MIN(gray_val, alpha_val); in read_default()
1259 } else *dest++=gray_val; in read_default()
1267 NEXTSAMPLE(gray_val); in read_default()
[all …]
/dports/graphics/jbig2dec/jbig2dec-0.19/
H A Djbig2_halftone.c467 uint16_t gray_val; in jbig2_decode_halftone_region() local
524 gray_val = GI[ng][mg]; in jbig2_decode_halftone_region()
525 if (gray_val >= HNUMPATS) { in jbig2_decode_halftone_region()
526 …ERITY_WARNING, segment->number, "gray-scale index %d out of range, using largest index", gray_val); in jbig2_decode_halftone_region()
528 gray_val = HNUMPATS - 1; in jbig2_decode_halftone_region()
530 … code = jbig2_image_compose(ctx, image, HPATS->patterns[gray_val], x, y, params->HCOMBOP); in jbig2_decode_halftone_region()
/dports/graphics/mupdf/mupdf-1.18.0-source/thirdparty/jbig2dec/
H A Djbig2_halftone.c467 uint16_t gray_val; in jbig2_decode_halftone_region() local
524 gray_val = GI[ng][mg]; in jbig2_decode_halftone_region()
525 if (gray_val >= HNUMPATS) { in jbig2_decode_halftone_region()
526 …ERITY_WARNING, segment->number, "gray-scale index %d out of range, using largest index", gray_val); in jbig2_decode_halftone_region()
528 gray_val = HNUMPATS - 1; in jbig2_decode_halftone_region()
530 … code = jbig2_image_compose(ctx, image, HPATS->patterns[gray_val], x, y, params->HCOMBOP); in jbig2_decode_halftone_region()
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/bug019/PoC/src/common/
H A Dutils.vhdl247 function gray2bin (gray_val : std_logic_vector) return std_logic_vector;
795 function gray2bin(gray_val : std_logic_vector) return std_logic_vector is
796 variable res : std_logic_vector(gray_val'range);
798 res(res'left) := gray_val(gray_val'left);
800 res(i) := res(i+1) xor gray_val(i);
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/bug035/
H A Dutils.vhdl247 function gray2bin (gray_val : std_logic_vector) return std_logic_vector;
795 function gray2bin(gray_val : std_logic_vector) return std_logic_vector is
796 variable res : std_logic_vector(gray_val'range);
798 res(res'left) := gray_val(gray_val'left);
800 res(i) := res(i+1) xor gray_val(i);
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/bug037/
H A Dutils.vhdl255 function gray2bin (gray_val : std_logic_vector) return std_logic_vector;
803 function gray2bin(gray_val : std_logic_vector) return std_logic_vector is
804 variable res : std_logic_vector(gray_val'range);
806 res(res'left) := gray_val(gray_val'left);
808 res(i) := res(i+1) xor gray_val(i);
/dports/java/openjdk11/jdk11u-jdk-11.0.13-8-1/src/java.desktop/unix/native/libawt/java2d/loops/
H A Dmlib_ImageZoom_NN.c425 mlib_u8 *sl, *dl, gray_val[2]; in mlib_ImageZoom_BitToGray_1_Nearest() local
472 gray_val[0] = gray_val0; in mlib_ImageZoom_BitToGray_1_Nearest()
473 gray_val[1] = gray_val1; in mlib_ImageZoom_BitToGray_1_Nearest()
602 gray_val[(sl[x >> (MLIB_SHIFT + 3)] >> (7 - (x >> MLIB_SHIFT) & 7)) & 1]; in mlib_ImageZoom_BitToGray_1_Nearest()
/dports/java/openjdk11-jre/jdk11u-jdk-11.0.13-8-1/src/java.desktop/unix/native/libawt/java2d/loops/
H A Dmlib_ImageZoom_NN.c425 mlib_u8 *sl, *dl, gray_val[2]; in mlib_ImageZoom_BitToGray_1_Nearest() local
472 gray_val[0] = gray_val0; in mlib_ImageZoom_BitToGray_1_Nearest()
473 gray_val[1] = gray_val1; in mlib_ImageZoom_BitToGray_1_Nearest()
602 gray_val[(sl[x >> (MLIB_SHIFT + 3)] >> (7 - (x >> MLIB_SHIFT) & 7)) & 1]; in mlib_ImageZoom_BitToGray_1_Nearest()
/dports/java/openjdk8/jdk8u-jdk8u312-b07.1/jdk/src/solaris/native/sun/java2d/loops/
H A Dmlib_ImageZoom_NN.c422 mlib_u8 *sl, *dl, gray_val[2]; in mlib_ImageZoom_BitToGray_1_Nearest() local
469 gray_val[0] = gray_val0; in mlib_ImageZoom_BitToGray_1_Nearest()
470 gray_val[1] = gray_val1; in mlib_ImageZoom_BitToGray_1_Nearest()
599 gray_val[(sl[x >> (MLIB_SHIFT + 3)] >> (7 - (x >> MLIB_SHIFT) & 7)) & 1]; in mlib_ImageZoom_BitToGray_1_Nearest()
/dports/java/openjdk12/openjdk-jdk12u-jdk-12.0.2-10-4/src/java.desktop/unix/native/libawt/java2d/loops/
H A Dmlib_ImageZoom_NN.c425 mlib_u8 *sl, *dl, gray_val[2]; in mlib_ImageZoom_BitToGray_1_Nearest() local
472 gray_val[0] = gray_val0; in mlib_ImageZoom_BitToGray_1_Nearest()
473 gray_val[1] = gray_val1; in mlib_ImageZoom_BitToGray_1_Nearest()
602 gray_val[(sl[x >> (MLIB_SHIFT + 3)] >> (7 - (x >> MLIB_SHIFT) & 7)) & 1]; in mlib_ImageZoom_BitToGray_1_Nearest()
/dports/java/openjdk14/jdk14u-jdk-14.0.2-12-1/src/java.desktop/unix/native/libawt/java2d/loops/
H A Dmlib_ImageZoom_NN.c425 mlib_u8 *sl, *dl, gray_val[2]; in mlib_ImageZoom_BitToGray_1_Nearest() local
472 gray_val[0] = gray_val0; in mlib_ImageZoom_BitToGray_1_Nearest()
473 gray_val[1] = gray_val1; in mlib_ImageZoom_BitToGray_1_Nearest()
602 gray_val[(sl[x >> (MLIB_SHIFT + 3)] >> (7 - (x >> MLIB_SHIFT) & 7)) & 1]; in mlib_ImageZoom_BitToGray_1_Nearest()
/dports/java/openjdk13/jdk13u-jdk-13.0.10-1-1/src/java.desktop/unix/native/libawt/java2d/loops/
H A Dmlib_ImageZoom_NN.c425 mlib_u8 *sl, *dl, gray_val[2]; in mlib_ImageZoom_BitToGray_1_Nearest() local
472 gray_val[0] = gray_val0; in mlib_ImageZoom_BitToGray_1_Nearest()
473 gray_val[1] = gray_val1; in mlib_ImageZoom_BitToGray_1_Nearest()
602 gray_val[(sl[x >> (MLIB_SHIFT + 3)] >> (7 - (x >> MLIB_SHIFT) & 7)) & 1]; in mlib_ImageZoom_BitToGray_1_Nearest()
/dports/java/openjdk8-jre/jdk8u-jdk8u312-b07.1/jdk/src/solaris/native/sun/java2d/loops/
H A Dmlib_ImageZoom_NN.c422 mlib_u8 *sl, *dl, gray_val[2]; in mlib_ImageZoom_BitToGray_1_Nearest() local
469 gray_val[0] = gray_val0; in mlib_ImageZoom_BitToGray_1_Nearest()
470 gray_val[1] = gray_val1; in mlib_ImageZoom_BitToGray_1_Nearest()
599 gray_val[(sl[x >> (MLIB_SHIFT + 3)] >> (7 - (x >> MLIB_SHIFT) & 7)) & 1]; in mlib_ImageZoom_BitToGray_1_Nearest()
/dports/print/ghostscript8-x11/ghostscript-8.71/base/
H A Dgxcmap.c181 gx_color_value gray_val = cv[0]; in gx_backwards_compatible_gray_encode() local
184 rgb_cv[0] = gray_val; in gx_backwards_compatible_gray_encode()
185 rgb_cv[1] = gray_val; in gx_backwards_compatible_gray_encode()
186 rgb_cv[2] = gray_val; in gx_backwards_compatible_gray_encode()
/dports/print/ghostscript8-base/ghostscript-8.71/base/
H A Dgxcmap.c181 gx_color_value gray_val = cv[0]; in gx_backwards_compatible_gray_encode() local
184 rgb_cv[0] = gray_val; in gx_backwards_compatible_gray_encode()
185 rgb_cv[1] = gray_val; in gx_backwards_compatible_gray_encode()
186 rgb_cv[2] = gray_val; in gx_backwards_compatible_gray_encode()
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue317/PoC/src/common/
H A Dutils.vhdl271 function gray2bin (gray_val : std_logic_vector) return std_logic_vector;
941 function gray2bin(gray_val : std_logic_vector) return std_logic_vector is
942 variable tmp : std_logic_vector(gray_val'length downto 0);
943 variable res : std_logic_vector(gray_val'range);
945 tmp := '0' & gray_val;
/dports/print/ghostscript9-base/ghostscript-9.06/base/
H A Dgxcmap.c191 gx_color_value gray_val = cv[0]; in gx_backwards_compatible_gray_encode() local
194 rgb_cv[0] = gray_val; in gx_backwards_compatible_gray_encode()
195 rgb_cv[1] = gray_val; in gx_backwards_compatible_gray_encode()
196 rgb_cv[2] = gray_val; in gx_backwards_compatible_gray_encode()
/dports/print/ghostscript9-x11/ghostscript-9.06/base/
H A Dgxcmap.c191 gx_color_value gray_val = cv[0]; in gx_backwards_compatible_gray_encode() local
194 rgb_cv[0] = gray_val; in gx_backwards_compatible_gray_encode()
195 rgb_cv[1] = gray_val; in gx_backwards_compatible_gray_encode()
196 rgb_cv[2] = gray_val; in gx_backwards_compatible_gray_encode()
/dports/print/ghostscript9-agpl-base/ghostscript-9.52/base/
H A Dgxcmap.c200 gx_color_value gray_val = cv[0]; in gx_backwards_compatible_gray_encode() local
203 rgb_cv[0] = gray_val; in gx_backwards_compatible_gray_encode()
204 rgb_cv[1] = gray_val; in gx_backwards_compatible_gray_encode()
205 rgb_cv[2] = gray_val; in gx_backwards_compatible_gray_encode()
/dports/print/ghostscript9-agpl-x11/ghostscript-9.52/base/
H A Dgxcmap.c200 gx_color_value gray_val = cv[0]; in gx_backwards_compatible_gray_encode() local
203 rgb_cv[0] = gray_val; in gx_backwards_compatible_gray_encode()
204 rgb_cv[1] = gray_val; in gx_backwards_compatible_gray_encode()
205 rgb_cv[2] = gray_val; in gx_backwards_compatible_gray_encode()