Home
last modified time | relevance | path

Searched refs:histogram (Results 1 – 25 of 6881) sorted by relevance

12345678910>>...276

/dports/devel/hyperscan/boost_1_75_0/libs/histogram/doc/html/
H A Dhistogram_HTML.manifest2 histogram/overview.html
3 histogram/getting_started.html
4 histogram/guide.html
5 histogram/benchmarks.html
6 histogram/concepts.html
7 histogram/reference.html
10 boost/histogram/histogram.html
18 boost/histogram/coverage.html
19 boost/histogram/indexed.html
117 histogram/rationale.html
[all …]
/dports/www/chromium-legacy/chromium-88.0.4324.182/base/metrics/
H A Dhistogram_functions_unittest.cc30 tester.ExpectTotalCount(histogram, 3); in TEST()
34 tester.ExpectTotalCount(histogram, 4); in TEST()
38 tester.ExpectTotalCount(histogram, 5); in TEST()
54 tester.ExpectTotalCount(histogram, 2); in TEST()
60 UmaHistogramBoolean(histogram, true); in TEST()
62 UmaHistogramBoolean(histogram, false); in TEST()
64 tester.ExpectTotalCount(histogram, 2); in TEST()
70 UmaHistogramPercentage(histogram, 1); in TEST()
72 tester.ExpectTotalCount(histogram, 1); in TEST()
126 UmaHistogramSparse(histogram, 0); in TEST()
[all …]
/dports/devel/boost-docs/boost_1_72_0/libs/histogram/doc/html/
H A Dhistogram_HTML.manifest2 histogram/overview.html
3 histogram/getting_started.html
4 histogram/guide.html
5 histogram/benchmarks.html
6 histogram/concepts.html
7 histogram/reference.html
8 boost/histogram/histogram.html
9 boost/histogram/operator_.html
11 boost/histogram/operator-.html
101 histogram/rationale.html
[all …]
/dports/devel/boost-python-libs/boost_1_72_0/libs/histogram/doc/html/
H A Dhistogram_HTML.manifest2 histogram/overview.html
3 histogram/getting_started.html
4 histogram/guide.html
5 histogram/benchmarks.html
6 histogram/concepts.html
7 histogram/reference.html
8 boost/histogram/histogram.html
9 boost/histogram/operator_.html
11 boost/histogram/operator-.html
101 histogram/rationale.html
[all …]
/dports/devel/boost-libs/boost_1_72_0/libs/histogram/doc/html/
H A Dhistogram_HTML.manifest2 histogram/overview.html
3 histogram/getting_started.html
4 histogram/guide.html
5 histogram/benchmarks.html
6 histogram/concepts.html
7 histogram/reference.html
8 boost/histogram/histogram.html
9 boost/histogram/operator_.html
11 boost/histogram/operator-.html
101 histogram/rationale.html
[all …]
/dports/graphics/rawstudio/rawstudio-2.0/src/
H A Drs-histogram.c232 current[0] = (int)(histogram->rgb_values[0] * histogram->width); in rs_histogram_redraw()
233 current[1] = (int)(histogram->rgb_values[1] * histogram->width); in rs_histogram_redraw()
234 current[2] = (int)(histogram->rgb_values[2] * histogram->width); in rs_histogram_redraw()
251 histogram->blitter = gdk_pixmap_new(window, histogram->width, histogram->height, -1); in rs_histogram_redraw()
255 gdk_draw_rectangle(histogram->blitter, gc, TRUE, 0, 0, histogram->width, histogram->height); in rs_histogram_redraw()
259 …gdk_draw_line(histogram->blitter, gc, histogram->width*0.25, 0, histogram->width*0.25, histogram->… in rs_histogram_redraw()
260 …gdk_draw_line(histogram->blitter, gc, histogram->width*0.5, 0, histogram->width*0.5, histogram->he… in rs_histogram_redraw()
261 …gdk_draw_line(histogram->blitter, gc, histogram->width*0.75, 0, histogram->width*0.75, histogram->… in rs_histogram_redraw()
271 histogram->output_samples[c], histogram->width, in rs_histogram_redraw()
381 gdk_draw_lines(histogram->blitter, gc, points, histogram->width); in rs_histogram_redraw()
[all …]
/dports/www/qt5-webengine/qtwebengine-everywhere-src-5.15.2/src/3rdparty/chromium/base/metrics/
H A Dhistogram_functions_unittest.cc30 tester.ExpectTotalCount(histogram, 3); in TEST()
34 tester.ExpectTotalCount(histogram, 4); in TEST()
38 tester.ExpectTotalCount(histogram, 5); in TEST()
54 tester.ExpectTotalCount(histogram, 2); in TEST()
60 UmaHistogramBoolean(histogram, true); in TEST()
62 UmaHistogramBoolean(histogram, false); in TEST()
64 tester.ExpectTotalCount(histogram, 2); in TEST()
70 UmaHistogramPercentage(histogram, 50); in TEST()
75 tester.ExpectTotalCount(histogram, 2); in TEST()
113 UmaHistogramSparse(histogram, 0); in TEST()
[all …]
/dports/graphics/pcl-pointclouds/pcl-pcl-1.12.0/test/features/
H A Dtest_spin_estimation.cpp103 EXPECT_NEAR ((*spin_images)[100].histogram[0], 0, 1e-4); in TEST()
104 EXPECT_NEAR ((*spin_images)[100].histogram[12], 0, 1e-4); in TEST()
106 EXPECT_NEAR ((*spin_images)[100].histogram[36], 0, 1e-4); in TEST()
109 EXPECT_NEAR ((*spin_images)[100].histogram[72], 0, 1e-4); in TEST()
110 EXPECT_NEAR ((*spin_images)[100].histogram[84], 0, 1e-4); in TEST()
116 EXPECT_NEAR ((*spin_images)[300].histogram[0], 0, 1e-4); in TEST()
117 EXPECT_NEAR ((*spin_images)[300].histogram[12], 0, 1e-4); in TEST()
119 EXPECT_NEAR ((*spin_images)[300].histogram[36], 0, 1e-4); in TEST()
137 EXPECT_NEAR ((*spin_images)[100].histogram[0], 0, 1e-4); in TEST()
150 EXPECT_NEAR ((*spin_images)[300].histogram[0], 0, 1e-4); in TEST()
[all …]
/dports/databases/grass7/grass-7.8.6/lib/raster/
H A Dhistogram.c25 histogram->num = 0; in Rast_init_histogram()
26 histogram->list = NULL; in Rast_init_histogram()
98 list = histogram->list; in Rast_write_histogram()
167 return histogram->num; in Rast_get_histogram_num()
214 G_free(histogram->list); in Rast_free_histogram()
215 histogram->num = 0; in Rast_free_histogram()
412 histogram->num++; in Rast_extend_histogram()
413 histogram->list = in Rast_extend_histogram()
414 (LIST *) G_realloc(histogram->list, histogram->num * sizeof(LIST)); in Rast_extend_histogram()
415 histogram->list[histogram->num - 1].cat = cat; in Rast_extend_histogram()
[all …]
/dports/lang/spidermonkey60/firefox-60.9.0/toolkit/components/telemetry/
H A Dgen_histogram_data.py24 % (histogram.low(),
25 histogram.high(),
30 histogram.dataset(),
49 for histogram in histograms:
60 keys = histogram.keys()
105 n_values = histogram.high()
111 name = histogram.name()
112 low = histogram.low()
113 high = histogram.high()
147 kind = histogram.kind()
[all …]
/dports/biology/ncbi-cxx-toolkit/ncbi_cxx--25_2_0/src/util/test/
H A Dtest_histogram_time_series.cpp90 auto counters0 = bin0.histogram.GetBinCountersPtr(); in BOOST_AUTO_TEST_CASE()
91 auto counters1 = bin1.histogram.GetBinCountersPtr(); in BOOST_AUTO_TEST_CASE()
94 BOOST_CHECK(0 == bin0.histogram.GetLowerAnomalyCount()); in BOOST_AUTO_TEST_CASE()
95 BOOST_CHECK(0 == bin0.histogram.GetUpperAnomalyCount()); in BOOST_AUTO_TEST_CASE()
98 BOOST_CHECK(0 == bin1.histogram.GetLowerAnomalyCount()); in BOOST_AUTO_TEST_CASE()
99 BOOST_CHECK(1 == bin1.histogram.GetUpperAnomalyCount()); in BOOST_AUTO_TEST_CASE()
116 auto counters0 = bin0.histogram.GetBinCountersPtr(); in BOOST_AUTO_TEST_CASE()
117 auto counters1 = bin1.histogram.GetBinCountersPtr(); in BOOST_AUTO_TEST_CASE()
141 auto counters0 = bin0.histogram.GetBinCountersPtr(); in BOOST_AUTO_TEST_CASE()
142 auto counters1 = bin1.histogram.GetBinCountersPtr(); in BOOST_AUTO_TEST_CASE()
[all …]
/dports/www/firefox-legacy/firefox-52.8.0esr/toolkit/components/telemetry/
H A Dgen-histogram-data.py23 % (histogram.low(),
24 histogram.high(),
25 histogram.n_buckets(),
29 histogram.dataset(),
42 for histogram in histograms:
84 n_values = histogram.high()
89 name = histogram.name()
90 low = histogram.low()
91 high = histogram.high()
121 for histogram in histograms:
[all …]
/dports/graphics/geeqie/geeqie-1.6/src/
H A Dhistogram.c51 Histogram *histogram; in histogram_new() local
58 histogram->vgrid = 5; in histogram_new()
59 histogram->hgrid = 3; in histogram_new()
65 return histogram; in histogram_new()
70 g_free(histogram); in histogram_free()
76 if (!histogram) return 0; in histogram_set_channel()
83 if (!histogram) return 0; in histogram_get_channel()
89 if (!histogram) return 0; in histogram_set_mode()
96 if (!histogram) return 0; in histogram_get_mode()
102 if (!histogram) return 0; in histogram_toggle_channel()
[all …]
/dports/databases/xtrabackup8/percona-xtrabackup-8.0.14/unittest/gunit/
H A Dhistograms-t.cc266 switch (histogram.get_histogram_type()) { in VerifyCommonJSONFields()
1500 EXPECT_EQ(histogram.get_num_buckets(), 3U); in TEST_F()
1537 EXPECT_EQ(0U, histogram.get_num_buckets()); in TEST_F()
1685 EXPECT_EQ(histogram.get_num_buckets(), 3U); in TEST_F()
1728 EXPECT_EQ(histogram.get_num_buckets(), 3U); in TEST_F()
1778 EXPECT_EQ(histogram.get_num_buckets(), 3U); in TEST_F()
1821 EXPECT_EQ(histogram.get_num_buckets(), 3U); in TEST_F()
2625 Histogram *histogram = nullptr; in TEST_F() local
2629 EXPECT_EQ(histogram, nullptr); in TEST_F()
2632 histogram = in TEST_F()
[all …]
/dports/lang/spidermonkey78/firefox-78.9.0/toolkit/components/telemetry/build_scripts/
H A Dgen_histogram_data.py29 % (histogram.low(),
30 histogram.high(),
58 for histogram in histograms:
69 keys = histogram.keys()
147 n_values = histogram.high()
153 name = histogram.name()
154 low = histogram.low()
155 high = histogram.high()
189 for histogram in histograms:
190 kind = histogram.kind()
[all …]
/dports/www/chromium-legacy/chromium-88.0.4324.182/base/android/
H A Dnative_uma_recorder.cc70 if (histogram) in BooleanHistogram()
71 return histogram; in BooleanHistogram()
76 return histogram; in BooleanHistogram()
90 if (histogram) { in ExponentialHistogram()
93 return histogram; in ExponentialHistogram()
101 return histogram; in ExponentialHistogram()
115 if (histogram) { in LinearHistogram()
122 histogram = in LinearHistogram()
125 return histogram; in LinearHistogram()
133 if (histogram) in SparseHistogram()
[all …]
/dports/net-im/tg_owt/tg_owt-d578c76/src/base/android/
H A Dnative_uma_recorder.cc70 if (histogram) in BooleanHistogram()
71 return histogram; in BooleanHistogram()
76 return histogram; in BooleanHistogram()
90 if (histogram) { in ExponentialHistogram()
93 return histogram; in ExponentialHistogram()
101 return histogram; in ExponentialHistogram()
115 if (histogram) { in LinearHistogram()
122 histogram = in LinearHistogram()
125 return histogram; in LinearHistogram()
133 if (histogram) in SparseHistogram()
[all …]
/dports/www/firefox/firefox-99.0/toolkit/components/telemetry/build_scripts/
H A Dgen_histogram_data.py30 histogram, argument
44 histogram.low(),
45 histogram.high(),
76 for histogram in histograms:
87 keys = histogram.keys()
106 histogram,
177 n_values = histogram.high()
184 name = histogram.name()
185 low = histogram.low()
186 high = histogram.high()
[all …]
/dports/mail/thunderbird/thunderbird-91.8.0/toolkit/components/telemetry/build_scripts/
H A Dgen_histogram_data.py30 histogram, argument
44 histogram.low(),
45 histogram.high(),
76 for histogram in histograms:
87 keys = histogram.keys()
106 histogram,
177 n_values = histogram.high()
184 name = histogram.name()
185 low = histogram.low()
186 high = histogram.high()
[all …]
/dports/www/firefox-esr/firefox-91.8.0/toolkit/components/telemetry/build_scripts/
H A Dgen_histogram_data.py30 histogram, argument
44 histogram.low(),
45 histogram.high(),
76 for histogram in histograms:
87 keys = histogram.keys()
106 histogram,
177 n_values = histogram.high()
184 name = histogram.name()
185 low = histogram.low()
186 high = histogram.high()
[all …]
/dports/graphics/digikam/digikam-7.4.0/core/libs/dimg/filters/levels/
H A Dimagehistogram.cpp65 : histogram (nullptr), in Private()
76 struct double_packet* histogram; member in Digikam::ImageHistogram::Private
107 if (d->histogram) in ~ImageHistogram()
109 delete [] d->histogram; in ~ImageHistogram()
181 if (d->histogram && d->valid) in calculate()
192 if (!d->histogram) in calculate()
197 if (!d->histogram) in calculate()
220 d->histogram[blue].blue++; in calculate()
222 d->histogram[red].red++; in calculate()
255 d->histogram[red].red++; in calculate()
[all …]
/dports/www/qt5-webengine/qtwebengine-everywhere-src-5.15.2/src/3rdparty/chromium/third_party/catapult/tracing/tracing/value/
H A Dhistogram_unittest.cc27 auto histogram = builder.toProto(); in TEST() local
29 EXPECT_EQ(histogram->name(), "my name"); in TEST()
38 auto histogram = builder.toProto(); in TEST() local
49 auto histogram = builder.toProto(); in TEST() local
68 auto histogram = builder.toProto(); in TEST() local
92 auto histogram = builder.toProto(); in TEST() local
108 auto histogram = builder.toProto(); in TEST() local
116 ASSERT_LE(0, histogram->sample_values(0)); in TEST()
132 auto histogram = builder.toProto(); in TEST() local
149 auto histogram = builder.toProto(); in TEST() local
[all …]
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/catapult/tracing/tracing/value/
H A Dhistogram_unittest.cc27 auto histogram = builder.toProto(); in TEST() local
29 EXPECT_EQ(histogram->name(), "my name"); in TEST()
38 auto histogram = builder.toProto(); in TEST() local
49 auto histogram = builder.toProto(); in TEST() local
68 auto histogram = builder.toProto(); in TEST() local
92 auto histogram = builder.toProto(); in TEST() local
108 auto histogram = builder.toProto(); in TEST() local
116 ASSERT_LE(0, histogram->sample_values(0)); in TEST()
132 auto histogram = builder.toProto(); in TEST() local
149 auto histogram = builder.toProto(); in TEST() local
[all …]
/dports/textproc/sonic/sonic-1.3.0/cargo-crates/librocksdb-sys-6.7.4/rocksdb/monitoring/
H A Dhistogram_test.cc26 histogram.Add(i); in PopulateHistogram()
35 histogram.Data(&data); in BasicOperation()
47 histogram.Merge(other); in MergeHistogram()
50 histogram.Data(&data); in MergeHistogram()
71 histogram.Add(i); in ClearHistogram()
73 histogram.Clear(); in ClearHistogram()
81 HistogramImpl histogram; in TEST_F() local
89 HistogramImpl histogram; in TEST_F() local
95 histogram.Add(0); in TEST_F()
96 histogram.Add(1); in TEST_F()
[all …]
/dports/databases/mariadb104-server/mariadb-10.4.24/storage/rocksdb/rocksdb/monitoring/
H A Dhistogram_test.cc26 histogram.Add(i); in PopulateHistogram()
35 histogram.Data(&data); in BasicOperation()
47 histogram.Merge(other); in MergeHistogram()
50 histogram.Data(&data); in MergeHistogram()
71 histogram.Add(i); in ClearHistogram()
73 histogram.Clear(); in ClearHistogram()
81 HistogramImpl histogram; in TEST_F() local
89 HistogramImpl histogram; in TEST_F() local
95 histogram.Add(0); in TEST_F()
96 histogram.Add(1); in TEST_F()
[all …]

12345678910>>...276